freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

簡易電子琴的vhdl仿真與實(shí)現(xiàn)-資料下載頁

2025-06-26 09:29本頁面
  

【正文】 0101110。 d6=01101110。 d7=11101110。 when 101=d0=00000000。 輸入5時(shí),列掃描的圖形輸出d1=00000010。 d2=00000110。 d3=00000110。 d4=00010110。 d5=00110110。 d6=01110110。 d7=11110110。 when 110=d0=00000000。 輸入6時(shí),列掃描的圖形輸出d1=00000010。 d2=00000010。 d3=00001010。 d4=00011010。 d5=00111010。 d6=01111010。 d7=11111010。 when 111=d0=00000000。 輸入7時(shí),列掃描的圖形輸出d1=00000000。 d2=00000100。 d3=00001100。 d4=00011100。 d5=00111100。 d6=01111100。 d7=11111100。 when others=d0=00000000。 無輸入時(shí),列掃描的圖形輸出d1=00000010。 d2=00000110。 d3=00001110。 d4=00011110。 d5=00111110。 d6=01111110。 d7=11111110。 end case。 elsif(clr=39。139。) then d0=00000000。 復(fù)位后,列掃描的圖形輸出 d1=00000010。 d2=00000110。 d3=00001110。 d4=00011110。 d5=00111110。 d6=01111110。 d7=11111110。 end if。 if(clk_tmp=39。139。 and clk_tmp39。event)then 這里實(shí)現(xiàn)了逐行掃描 if st1(7 downto 0)=00000000or st1(7 downto 0)=01111111then st1(7 downto 0)=11111110。data=d0。 elsif st1(7 downto 0)=11111110then st1(7 downto 0)=11111101。data=d1。 elsif st1(7 downto 0)=11111101then st1(7 downto 0)=11111011。data=d2。 elsif st1(7 downto 0)=11111011then st1(7 downto 0)=11110111。data=d3。 elsif st1(7 downto 0)=11110111then st1(7 downto 0)=11101111。data=d4。 elsif st1(7 downto 0)=11101111then st1(7 downto 0)=11011111。data=d5。 elsif st1(7 downto 0)=11011111then st1(7 downto 0)=10111111。data=d6。 elsif st1(7 downto 0)=10111111then st1(7 downto 0)=01111111。data=d7。 end if。end if。end process p2。p3:process(clk) 給予點(diǎn)陣掃描一個(gè)合適的時(shí)鐘beginif(clk39。event and clk=39。139。)then if t=24999 then t=0。 clk_tmp=not clk_tmp。 else t=t+1。 end if。 end if。end process p3。end a。 錄音存儲播放模塊library ieee。use 。use 。entity store isport(index_key : in std_logic_vector(4 downto 0)。 clk : in std_logic。 store1: in std_logic。 錄音按鍵 replay:in std_logic。 重播按鍵 clr : in std_logic。 index_store : out std_logic_vector(4 downto 0))。end store。architecture behave of store issignal jishu : integer range 0 to 50。 signal xiabiao : integer range 0 to 50。signal changdu : integer range 0 to 60。signal jiepai : std_logic。signal k : integer range 0 to 10000000。type shuzu is array(100 downto 0) of std_logic_vector(4 downto 0)。 聲明二維數(shù)組新類型signal shuzu1 : shuzu。beginprocess(clk)begin 產(chǎn)生錄音的節(jié)拍時(shí)鐘 if clk39。 event and clk=39。139。 then if k=10000000 then k = 0。 jiepai = not jiepai。 else k = k+1。 end if。 end if。end process。process(jiepai,index_key,store1,clr)begin if store1 = 39。139。 then if clr = 39。139。 then jishu= 0。 復(fù)位有效后,想錄音存儲的原有數(shù)組清除 elsif jiepai39。 event and jiepai=39。139。 then shuzu1(jishu)= index_key。 if jishu=100 then jishu=0。 開始錄音存儲 else jishu=jishu+1。 錄入音符數(shù)目加1 end if。 end if。 else changdu=jishu。 end if。end process。process(replay,jiepai,clr)begin if replay = 39。139。 then if clr=39。139。 then xiabiao=0。 xiabiao作為錄音重播的計(jì)數(shù)器 elsif jiepai39。 event and jiepai=39。139。 then index_store=shuzu1(xiabiao)。 if xiabiao=changdu then xiabiao=0。 else xiabiao=xiabiao+1。 end if。 end if。 end if。end process。end behave。五、功能說明本電子琴基于VHDL編程實(shí)現(xiàn),可以實(shí)現(xiàn)高中低共21個(gè)音調(diào)的輸出,配合點(diǎn)陣以及數(shù)碼管顯示,同時(shí)具有自動播放與手動輸入選擇的功能,自動播放樂曲為預(yù)先選定的一定長度的歌曲。同時(shí)本電子琴還具有錄音與播放的功能,可以記錄錄入的任何音調(diào)以及時(shí)間的停頓。首先BTN0,BTN1,BTN2,BTN3,BTN4,BTN5,BTN6分別對應(yīng)手動演奏的七個(gè)音調(diào)的按鍵。而高中低音的模式選擇由BW6,BW7組合選擇,二者均為高電平時(shí)為高音輸出模式;均為低電平為低音輸出模式;分別對應(yīng)1,0為中音輸出模式。則在高中低模式組合與按鍵的組合下,可以對應(yīng)不同音調(diào)的手動演奏。BW5,BW2為自動手動錄音選擇,BW5為高電平,對應(yīng)自動播放;BW5為低電平,對應(yīng)手動按鍵演奏;BW2為高電平,則啟動手動按鍵錄音的功能。BW1有效,可以播放錄入的按鍵信息。需要特別說明的是,BTN0為復(fù)位按鍵,按下有效。在自動播放和錄音播放的過程中,按下此鍵,點(diǎn)陣恢復(fù)初始界面,樂曲停止,手松開,會重頭播放,完整的實(shí)現(xiàn)了復(fù)位的功能。而在按鍵錄音時(shí),由于我是用了二維數(shù)組來存儲按鍵信息,按下此鍵,可以清除數(shù)組原有數(shù)據(jù),即以前錄入的按鍵信息,重新錄入新數(shù)據(jù)。所以,本電子琴的vhdl實(shí)現(xiàn)完成了預(yù)定的全部基礎(chǔ)功能和提高功能。且完成狀態(tài)正常良好。六、元器件清單及資源利用情況 在本次電子琴的vhdl實(shí)現(xiàn)實(shí)驗(yàn)中,我使用的軟件為Quartus II ,使用的下載板為MAX II的EPM1270T144C5。我總共使用了5個(gè)撥碼開關(guān),8個(gè)按鍵,6個(gè)數(shù)碼選通管,8個(gè)數(shù)碼管分段顯示信號,主頻時(shí)鐘,揚(yáng)聲器輸出,點(diǎn)陣顯示輸出16個(gè)引腳,共計(jì)45各引腳。引腳分配表:七、故障及問題分析在本次實(shí)驗(yàn)中,從編程調(diào)試到硬件下載都出現(xiàn)了一些小小的問題,但是經(jīng)過仔細(xì)分析和研究,最終使得這些問題都得以克服和解決。問題1:點(diǎn)陣輸出不穩(wěn)定,時(shí)亮?xí)r滅。分析解決:點(diǎn)陣的圖形輸出基本正確,但是點(diǎn)陣輸出的圖形卻顯示非常不穩(wěn)定,亮度不夠,后來發(fā)現(xiàn)是由于點(diǎn)陣模塊的分頻系數(shù)太小導(dǎo)致,這樣導(dǎo)致點(diǎn)陣掃描過快,視覺暫留跟不上掃描的速度。最后經(jīng)過進(jìn)一步的調(diào)試,修改分頻系數(shù),使得點(diǎn)陣掃描清晰明亮。問題2:編譯未通過,告知元素個(gè)數(shù)不匹配。分析解決:出現(xiàn)了這個(gè)問題后,我迅速使用光標(biāo)定位找到了出錯(cuò)模塊,仔細(xì)核對模塊的內(nèi)容和頂層文件的聲明內(nèi)容,后來發(fā)現(xiàn)是前后一組矢量的大小不匹配導(dǎo)致的,調(diào)整后,編譯通過。問題3:錄音模塊在實(shí)現(xiàn)按鍵錄音時(shí),記錄按鍵信息存在遺漏,即有的輸入按鍵沒有被記錄。分析解決:出現(xiàn)此問題后,發(fā)現(xiàn)不是記錄有誤,而是記錄出現(xiàn)了跳音的現(xiàn)象,肯定是有的按鍵被判為無效,而按鍵判定部分的函數(shù)主要是根據(jù)分頻后的時(shí)鐘沿是否到達(dá)判決的,所以可能和分頻系數(shù)太小,導(dǎo)致按鍵時(shí)時(shí)鐘沿還未到達(dá)有關(guān)。經(jīng)過調(diào)整后,錄音功能實(shí)現(xiàn)。問題4:對應(yīng)按鍵,有的鍵音不準(zhǔn)確。分析解決:鍵音不準(zhǔn)確的問題主要和編程分頻的設(shè)置或者硬件本身的問題有關(guān)。所以首先解決編程問題,核對頻率表后,重新計(jì)算了相應(yīng)音符的分頻系數(shù),確實(shí)和原先的有所偏差。下載后問題基本解決,但是仍存在部分音符存在噪聲干擾的問題。此問題不可消除,換了一塊板子下載成功,按鍵準(zhǔn)確而無噪聲??芍恍┌l(fā)音噪聲干擾的問題確實(shí)和硬件本身存在關(guān)系。問題5:復(fù)位信號的設(shè)置和功能完善分析解決:首先要明確復(fù)位信號的具體功能,它不僅僅是使點(diǎn)陣輸出恢復(fù)初始圖形,更應(yīng)該具有停止演奏,原有音符輸入清零的功能。所以我在相應(yīng)的模塊都有調(diào)整,使得這些模塊匹配實(shí)現(xiàn)真正的復(fù)位有效應(yīng)該具有的功能。八、總結(jié)及結(jié)論經(jīng)過連續(xù)4次課以及自己抽出課余時(shí)間查閱資料和課下去過6次實(shí)驗(yàn)室調(diào)試的經(jīng)歷與付出,我最終實(shí)現(xiàn)了vhdl編程的電子琴功能的實(shí)現(xiàn),其中包括基礎(chǔ)功能和所有提高要求?;叵肫疬@次編程經(jīng)歷,有辛酸,但更多的時(shí)收獲。VHDL作為一種編程語言,它的結(jié)構(gòu)清晰,語句簡單,實(shí)現(xiàn)方便。經(jīng)過上個(gè)學(xué)期的系統(tǒng)學(xué)習(xí),我已經(jīng)對VHDL工程的模塊構(gòu)建與實(shí)現(xiàn),相關(guān)語句的使用和類型以及信號聲明都有了很深的認(rèn)識。所以,在我選擇了電子琴的課題之后,下手很快。首先,我仔細(xì)研究了所要實(shí)現(xiàn)的功能框架,對我要完成的工程任務(wù)有了很清晰的規(guī)劃。經(jīng)過系統(tǒng)框圖的設(shè)計(jì),我已經(jīng)初步確定了各個(gè)模塊的使用和端口的選擇。后來又進(jìn)一步設(shè)計(jì)了流程圖,對整個(gè)功能實(shí)現(xiàn)的過程有了深刻的認(rèn)識。上手編程以及編譯,花費(fèi)的時(shí)間并不是很多。因?yàn)榛镜恼Z句和聲明我已經(jīng)非常熟悉。當(dāng)然我在編程的過程中,是分步將不同功能的模塊劃分添加的。比如,我就是在實(shí)現(xiàn)了基本功能并且調(diào)試成功的基礎(chǔ)上,單獨(dú)添加錄音播放的模塊和進(jìn)行下一步調(diào)試的。當(dāng)然問題還是很多,修改問題和分析問題成為了我完成系統(tǒng)設(shè)計(jì)和編程之后的主要任務(wù)。為了使功能進(jìn)一步的完善,還需要進(jìn)行相關(guān)的邏輯修改。但是完善的過程才是成長的過程,這里面學(xué)到的東西也最多。初步設(shè)想在后續(xù)的調(diào)測中會發(fā)現(xiàn)有些地方并不盡如人意。比如,復(fù)位鍵功能實(shí)現(xiàn)的不完整性。所以要在各個(gè)相關(guān)模塊考慮復(fù)位鍵的使用。查閱資料對于我有著非常重要的作用。畢竟每個(gè)人都存在著知識的短板,通過學(xué)習(xí)和了解,可以填補(bǔ)你不懂不會認(rèn)識不深的地方。所以我查
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1