freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于sopc交通燈的eda課程設(shè)計(編輯修改稿)

2024-09-14 09:02 本頁面
 

【文章內(nèi)容簡介】 輸入,但是該時鐘頻率對于完成本設(shè)計是不夠的,數(shù)碼管以及點陣的動態(tài)顯示都要用到時鐘,而且兩個的掃描的時鐘頻率不一樣,這樣,就要求對原有的時鐘頻率進(jìn)行分頻,得到所需要的時鐘頻率。時鐘與控制器的連接圖如下圖所示: 圖 32 數(shù)字時鐘信號模塊電 3 引腳分配 本模塊用到的模塊與實驗箱的引腳連接如下表所示: 模塊名稱 信 號名稱 FPGA I/O 管腳號 功能說明 時鐘模塊 CLK Pin_A14 時鐘信號 緊急情況模塊 S1 Pin_AF5 按鍵 0 東西方向控制模塊 LED1 Pin_AE8 東西方向紅燈 LED2 Pin_J22 東西方向黃燈 LED3 Pin_M24 東西方向綠燈 南北方向控制模塊 LED10 Pin_F22 南北方向紅燈 LED11 Pin_E22 南北方向黃燈 LED12 Pin_F21 南北方向綠燈 數(shù)碼管顯示模塊 LEDAG0 Pin_G16 數(shù)碼管 A 段 LEDAG1 Pin_G17 數(shù)碼管 B 段 LEDAG2 Pin_F18 數(shù)碼管 C 段 LEDAG3 Pin_G18 數(shù)碼管 D 段 LEDAG4 Pin_G15 數(shù)碼管 E 段 LEDAG5 Pin_G14 數(shù)碼管 F 段 LEDAG6 Pin_G12 數(shù)碼管 G 段 LEDAG7 Pin_M21 數(shù)碼管 DP 段 DEL0 Pin_C22 38 譯碼器第一個輸入端 DEL1 Pin_D22 38 譯碼器第二個輸入端 DEL2 Pin_G9 38 譯碼器第三個輸入端 EDA 課程設(shè)計論文 14 點陣顯 示模塊 DOT_R[0] Pin_C17 點陣第一行 DOT_R[1] Pin_D15 點陣第二行 DOT_R[2] Pin_D14 點陣第三行 DOT_R[3] Pin_D13 點陣第四行 DOT_R[4] Pin_D12 點陣第五行 DOT_R[5] Pin_D10 點陣第六行 DOT_R[6] Pin_C10 點陣第七行 DOT_R[7] Pin_C9 點陣第八行 DOT_R[8] Pin_D21 點陣第九行 DOT_R[9] Pin_C21 點陣第十行 DOT_R[10] Pin_D20 點陣第十一行 DOT_R[11] Pin_D19 點陣第十二行 DOT_R[12] Pin_C19 點陣第十三行 DOT_R[13] Pin_D18 點陣第十四行 DOT_R[14] Pin_C18 點陣第十五行 DOT_R[15] Pin_D17 點陣第十六行 DOT_C0 Pin_L5 416 轉(zhuǎn)換第一個輸入端 DOT_C1 Pin_H6 416 轉(zhuǎn)換第二個輸入端 DOT_C2 Pin_H7 416 轉(zhuǎn)換第三個輸入端 DOT_C3 Pin_H5 416 轉(zhuǎn)換第四個輸入端 EDA 課程設(shè)計論文 15 總結(jié) 通過本次設(shè)計,初步了解了 quartusII 軟件的基本操作。熟悉了數(shù)碼管、點陣的動態(tài)掃描方法和原理,了解了 VHDL 語言的結(jié)構(gòu)特點及編程思想,能夠編寫簡單的程序。在設(shè)計過程中,遇到了許多的問題,通過與同學(xué)和老師的交流,以及自己在圖書館查找資料,最終完成了本設(shè)計。設(shè)計能夠按照預(yù)期的要求正常工作,但是,設(shè)計還存在一些不足,東西方向和南北方向的紅綠燈計時時間相同,不能夠達(dá)到兩個方向的時間不同步顯示;點陣只能夠現(xiàn)實普通的數(shù)字和漢字,還不能夠現(xiàn)實人形,在紅燈亮?xí)r ,人形不動,當(dāng)綠燈亮?xí)r,人形行走,此功能還不能實現(xiàn)。在接下來的時間里,會在這方面有所突破,完善本設(shè)計。 參考文獻(xiàn) ,李立軍 . EDA 技術(shù)基礎(chǔ) [ M]. 北京: 北京大學(xué) 出版社, ,張洲 .VHDL 基礎(chǔ)及經(jīng)典實例開發(fā) [ M].西安 : 西安交通大學(xué) 出版社, ,譚克俊,顏得文 .VHDL 編程實例(第四版) [ M]. 北京: 電子工業(yè)出版社 , .VHDL 開發(fā)精解與實例剖析 [ M]. 北京: 電子工業(yè)出版社 , EDA 課程設(shè)計論文 16 附錄:源程序清單 十字路口交通燈的設(shè)計 學(xué)號 030940910 姓名 楊波 library ieee。 庫文件 use 。 use 。 use 。 entity traffic is port(clk : in std_logic。 時鐘信號 del : buffer std_logic_vector(2 downto 0)。 38 譯碼器輸入 seg : out std_logic_vector(7 downto 0)。 數(shù)碼管段選 key : in std_logic。 按鍵 S1,緊急情況 east_west_led_red : out std_logic。 東西方向紅燈 east_west_led_yellow : out std_logic。 東西方向黃燈 east_west_led_green : out std_logic。 東西方向綠燈 south_north_led_red : out std_logic。 南北方向紅燈 south_north_led_yellow : out std_logic。 南北方向黃燈 south_north_led_green : out std_logic。 南北方向綠燈 hang : out std_logic_vector(15 downto 0)。 點陣的行 lie : out std_logic_vector(3 downto 0) 416 轉(zhuǎn)換輸入 )。 end traffic。 architecture behave of traffic is signal count_nanbei : integer range 0 to 45。 signal count_dongxi : integer range 0 to 45。 signal num_nanbei : integer range 0 to 25。 signal num_dongxi : integer range 0 to 25。 signal e_w_shiwei : integer range 0 to 9。 signal e_w_gewei : integer range 0 to 9。 signal s_n_shiwei : integer range 0 to 9。 signal s_n_gewei : integer range 0 to 9。 signal dis : std_logic_vector(7 downto 0)。 signal display : integer range 0 to 10。 signal clk_count : std_logic_vector(13 downto 0)。 signal clk1HZ : std_logic。 signal cdount : std_logic_vector(3 downto 0)。 signal dount : std_logic_vector(8 downto 0)。 signal s : std_logic_vector(2 downto 0)。 EDA 課程設(shè)計論文 17 begin process(clk) 分頻 begin if (clk39。event and clk=39。139。) then if(clk_count10000) then clk_count=clk_count+1。 else
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1