freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

可調(diào)電子表課程設計(編輯修改稿)

2025-02-12 09:35 本頁面
 

【文章內(nèi)容簡介】 時脈沖。RESET為復位端。(2) 當正常計數(shù)時,秒脈沖連接到計數(shù)器上,手動脈沖無效。(3) 當校時時,把手動脈沖連接到計數(shù)器的相應位上,使秒脈沖無效。 圖2. 3 封裝后的電路圖1. K1K0為校時控制端,TCLK為校時脈沖。RESET為復位端。2. RW為液晶讀寫信號,EN為液晶使能信號。3. .4. 5. 。6. RESET為復位按鈕,正常工作時為1,摁下去之后RESET為0,電子表全部清零;K0、K1,是用來實現(xiàn)對電子表的調(diào)節(jié),能調(diào)節(jié)分鐘和小時。第3章 設計原理詳析 計數(shù)原理正常工作時K1K0為00或者11,工作脈沖為MCLK連接到計數(shù)器上,手動脈沖TCLK無效。RESET為1。CLRN=1清零端無效。秒低位片,當M3M2M1M0=1001時,RCO=1產(chǎn)生進位,使秒高位ENT、ENP都為1,正常工作。當M6M4M3M0=1111時(表示時間為59秒),MLDN =0輸出端清零;TFEN為分鐘低電位片的使能端,正常工作下K1K0=11或00 ,(由此可看出只有時間為59秒下一個上升沿到來時分鐘才變化一次),分鐘的脈沖信號FENCLK=MCLK,TFLDN=FLDN=(由此可看出只有當時間為59分59秒下一個上升沿到來時分鐘才清零,同時結(jié)合以下文字可看出小時也加一),;當M6M4M3M0=1111時,MLDN=0,秒產(chǎn)生進位,使分鐘加1;TSEN為小時的使能端,正常工作下TSEN==,小時的脈沖信號為SHICLK=MCLK,TSLDN=SLDN=, SEN=1時,小時開始加1。且當S5S1S0=111, F6F4F3F0 =1111,M6M4M3M0=1111在下一個上升沿到來時輸出全部為0(即為清零)。 校時電路原理(1)只對分鐘和小時校正。(2)設置秒脈沖MCLK和一個手動校時脈沖TCLK。(3)當正常計數(shù)時,秒脈沖連接到計數(shù)器上,手動脈沖無效。(4)當校時時,把手動脈沖連接到計數(shù)器的相應位上,使秒脈沖無效。(5)當手動脈沖有上升沿時,相應的位加1,其它位照常計數(shù),不受校時手動脈沖影響。(6)設置2個功能選擇按鈕,實現(xiàn)下表的功能。表32校正原理功能表k0 k1功能FENCLKTFENSHICLKTSEN00①正常計數(shù)MCLKFENMCLKSEN01②調(diào)節(jié)分鐘TCLK1MCLKS
N10③調(diào)節(jié)小時MCLKFENTCLK111④正常計數(shù)MCLKFENMCLKSEN以上4個功能的說明如下:①④正常計數(shù):時鐘全部是秒脈沖,使能端分別是FEN 、SEN②調(diào)節(jié)分鐘:分鐘時鐘是手動脈沖,分鐘使能端分別是1。小時正常計數(shù)。③調(diào)節(jié)小時:小時時鐘是手動脈沖,小時使能端分別是1。分鐘正常計數(shù)。調(diào)節(jié)按鈕電路公式:(7) 某位調(diào)節(jié)到最大值時候,不向高位進位,執(zhí)行清零: 調(diào)節(jié)分鐘到59后,TFLDN=0使分鐘清零。調(diào)節(jié)小時到23后,TSLDN=0使小時清零。清零公式:正常計數(shù)時,TFLDN=FLDN ,TSLDN=SLDN第4章仿真波形與分析59秒后,下一上升沿進行清零,同時分加1。 分鐘計時59分59秒進位清零。變成1小時。 小時計時23小時59分59秒進位清零,重新從0小時0分0秒開始計時 調(diào)節(jié)當K0為1,K1為0時對分鐘進行調(diào)節(jié)。當K0為0,K1為1時對小時進行調(diào)節(jié)。第5章 LCD液晶顯示器實現(xiàn) LCD液晶顯示器 LCD液晶顯示器源程序library IEEE。use 。use 。use 。entity LCD1602 is Port ( Clk : in std_logic。 狀態(tài)機時鐘信號,同時也是液晶時鐘信號 R0,R1,R2: in std_logic_vector(7 downto 0)。 rs: buffer std_logic。 rw : out std_logic。 液晶讀寫信號 en : out std_logic。 液晶使能信號 Clk_Out : buffer std_logic。 除輸出的秒脈沖 d : buffer
點擊復制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1