freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設(shè)計(jì)論文-基于fpga的航空設(shè)備綜合檢測(cè)儀(編輯修改稿)

2025-07-07 21:23 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 配文件(仿 真文件和編程配置文件)生成,以及基于目標(biāo)器件的工程時(shí)序分析等。編譯過程中要注意工程管理下方的 Processing 欄中的編譯信息,如果工程的文件有錯(cuò),啟動(dòng)編譯后在下方的Processing 處理欄中顯示出來。對(duì)于 Processing 欄中顯示的語句格式錯(cuò)誤,可雙擊錯(cuò)誤信息條文,即彈出對(duì)應(yīng)的 VHDL 文件,在深色標(biāo)記條處即為文件中的錯(cuò)誤,再次進(jìn)行編譯直至排除所有錯(cuò)誤。如果編譯成功,可以看到工程管理窗的左上角顯示了工程的層次結(jié)構(gòu)和其中的結(jié)構(gòu)模塊耗用的邏輯宏單元數(shù);在此欄下是編譯處理流程,包括數(shù)據(jù)網(wǎng)表建立、邏輯綜合、適配 、配置文件裝配和時(shí)序分析等;最下欄是編譯處理信息;中欄( Compilation Report)是編譯報(bào)告項(xiàng)目選擇菜單,單擊其中各項(xiàng)可以詳細(xì)了解編譯與分析結(jié)果。 時(shí) 序 仿真 ( 1) 打開波形編輯器。選擇 File → New 命令,在 New 對(duì)話框中選擇 Other Files 中的 Vector Waveform Files 選項(xiàng),單擊 OK按鈕,即打開空白的波形編輯器。 ( 2) 設(shè)置仿真時(shí)間區(qū)域,對(duì)于時(shí)序仿真來說,將仿真時(shí)間設(shè)置在一個(gè)合理的時(shí)間區(qū)域上十分重要。通常設(shè)置時(shí)間范圍在數(shù)十微秒間。首先在 Edit 菜單中選 擇 End Time 命令,即彈出對(duì)話框。設(shè)置好時(shí)間后單擊 OK按鈕結(jié)束設(shè)置。 ( 3) 將工程的端口信號(hào)節(jié)點(diǎn)選入波形編輯器中。方法是首先選擇 View 菜單中的Utility Windows 子菜單中的 Node Finder 命令。在 Fliter 下拉表中選 Pins: all選項(xiàng)(通常已經(jīng)默認(rèn)選此項(xiàng)),然后單擊 List 按鈕,于是在 Nodes Found 列表框中顯示設(shè)計(jì)中的工程所有端口引腳名。 ( 4) 波形文件存盤。選擇 Files→ Save As 命令。 ( 5) 編輯輸入波形。 ( 6) 總線數(shù)據(jù)格式設(shè)置。 ( 7) 仿真方式的選擇。在 Quartus II 軟件中有兩種仿真方式:功能仿真和時(shí)序仿真。方法是:在菜單欄中選擇 Processing→ Simulation Tool 命令即彈出仿真方式選擇窗口,在窗口 Simulation mode 處是時(shí)序仿真和功能仿真選擇窗口。選擇好后 ,單擊 Generate Functional Netlist 按鈕,再單擊“確定”按鈕,最后單擊 Start南昌航空大學(xué)學(xué)士學(xué)位論文 13 按鈕,即完成仿真方式的確定。 ( 8) 仿真器參數(shù)設(shè)計(jì)。選擇 Assignment→ Setting 命令,即彈出仿真參數(shù)設(shè)置窗口。 ( 9) 啟動(dòng)仿真器。所有設(shè)置完畢后,選擇 Processing→ Start Simulation 命令。 ( 10) 觀察仿真結(jié)果。仿真波形文件 Simulation Report 通常會(huì)自動(dòng)彈出仿真結(jié)果。在窗口中單擊右鍵選擇 Zoom→ Fit in Windows 命令則可看到整個(gè)屏幕。 為了能對(duì)工程進(jìn)行硬件測(cè)試,應(yīng)將其輸入輸出信號(hào)鎖定在芯片確定的引腳上,編譯下載 后 還必須配置芯片進(jìn)行編譯,完成 FPGA 的最終開發(fā)。引腳設(shè)定在菜單欄中選擇 Assigment→ Assigment Editor 命令,及彈出編輯器窗口,在 Category 欄中選擇Pin選項(xiàng)。引腳設(shè)定后,必須再編 譯一次 。編譯后,會(huì)生成 SOF 格式配置文件,對(duì) FPGA進(jìn)行配置模式有: JTAG,Passive Serial, Active Serial 和 InSocket. 4 復(fù)用接口電路 設(shè)計(jì) 復(fù)用接口電路 總體結(jié)構(gòu) 設(shè)計(jì) 在本 文 中,復(fù)用接口由 矩陣開關(guān)電路和接口電路 組成。其中, 矩陣開關(guān)電路 設(shè)計(jì)主要由 三部分組成:鍵盤接口電路和數(shù)字按鍵 顯示電路 及接口控制電路 。 接口 電路 設(shè)計(jì) 主要由 四 部分 組成: 四位數(shù)據(jù)接口 電路 、 八 位數(shù)據(jù)接口電路、 十六 位數(shù)據(jù) 接口電路及 三十二 位數(shù)據(jù) 接口 電路。 其中, 鍵盤接口 電路包括時(shí) 鐘 產(chǎn)生電路、鍵盤掃描電路、 鍵盤 譯碼電路 ; 四位數(shù)據(jù)接口電路包括并行接口、串行接口、串并轉(zhuǎn)換接口及并串轉(zhuǎn)換接口。八位數(shù)據(jù)接口電路包括并行接口、串行接口、串并轉(zhuǎn)換接口及并串轉(zhuǎn)換接口。同樣十六位數(shù)據(jù)接口及三十二位數(shù)據(jù)接口也包括并行接口、串行接口、串并轉(zhuǎn)換接口及并串轉(zhuǎn)換接口。 而且各數(shù)據(jù)接口電路都包含幀同步數(shù)字 [19]生成模塊,實(shí)現(xiàn)了數(shù)據(jù)的幀同步傳輸。 本設(shè)計(jì)復(fù)用接口實(shí)現(xiàn)的主要功能為:當(dāng)系統(tǒng)上電后,由 矩陣開關(guān)輸入所要選擇的接口相對(duì)應(yīng)的鍵值,按下鍵后,就會(huì)打開相應(yīng)的接口,而后被測(cè)設(shè)備中的數(shù)據(jù)就可經(jīng)接口電路傳輸?shù)轿C(jī)系統(tǒng)中 (鍵值與接口關(guān)系見附錄 C) 。 矩陣開關(guān) 電路 設(shè)計(jì) 矩陣式鍵盤的工作原理 矩陣式鍵盤采用常用的 行列 格式, 5x5 矩陣鍵盤的面板配置 及外圍接點(diǎn)電路 [26]如 圖 所示 。 鍵盤上的每一個(gè)按鍵是一個(gè)開關(guān)電路,當(dāng) 有 鍵被按下, 且獲得 相應(yīng)的掃描信號(hào)時(shí), 接點(diǎn)會(huì)呈現(xiàn)邏輯 0 狀態(tài)。因?yàn)槊總€(gè)輸出接口都接到了電壓為 +5V電源電 南昌航空大學(xué)學(xué)士學(xué)位論文 14 圖 矩陣鍵盤的面板配置 及外圍接點(diǎn)電路 路上,沒有鍵按下時(shí), 則 會(huì) 呈現(xiàn) 由電源電路經(jīng) 1K 歐姆 上拉 電阻后 給定的 高電平 狀態(tài) ,即邏輯 1狀態(tài) 。掃描信號(hào)由 key_drv進(jìn)入鍵盤, 變化的順序依次是 11110 — 11101 — 11011 — 10111 — 01111 — 11110。每次掃描一 行 ,依次周而復(fù)始。 若 掃描信號(hào)為11110,代表目前正在掃描 第一行 ,如果 該行 沒有按鍵按下,則 key_in4? key_in0輸 出的值為 11111;反之, 當(dāng)有鍵按下時(shí),如 “ 1” 按鍵被按下 ,則由 key_in4? key_in0讀出的值為 11110。同樣 可列出其它按鍵與數(shù)碼的關(guān)系,如表 4. 1所示。 表 鍵值 與數(shù)碼的關(guān)系 掃描輸入編碼 key_drv4— key_drv0 鍵盤輸出編碼 按鍵編碼 按鍵鍵值 key_in4— key_in0 11110 11110 1111011110 1 11101 1111011101 2 11011 1111011011 3 10111 1111010111 4 11101 11110 1110111110 5 11101 1110111101 6 11011 1110111011 7 10111 1110110111 8 11110 1011111110 9 南昌航空大學(xué)學(xué)士學(xué)位論文 15 10111 11101 1011111101 10 11011 1011111011 11 10111 1011110111 12 10111 11110 1011111110 13 11101 1011111101 14 11011 1011111011 15 10111 1011110111 16 01111 01111 0111101111 復(fù)位 其中沒有給出定義的鍵視為擴(kuò)展鍵,當(dāng)要實(shí)現(xiàn)其他功能時(shí)可對(duì)鍵盤進(jìn)行擴(kuò)展。 如果有按鍵被按下時(shí),則將讀出的值送至 編 碼電路進(jìn)行編碼。 按鍵具體功能見附錄 C。 時(shí) 鐘 產(chǎn)生電路 及仿真 時(shí)鐘產(chǎn)生電路的 結(jié)構(gòu) 特點(diǎn)是由存儲(chǔ)電路和組合電路兩部分組成,或通俗地說由觸發(fā)器和門電路組成,如圖 所示。時(shí) 鐘 電路的狀態(tài)是由存儲(chǔ)電路來記憶的,因而在時(shí) 鐘 電路中,觸發(fā)器是必不可少的。 圖 時(shí) 鐘 電路的結(jié)構(gòu)框圖 在本文中 , 考慮到 數(shù)據(jù)的傳輸延遲,將系統(tǒng)時(shí)鐘作了五十分頻,然后作為掃描電路的時(shí)鐘輸入。 經(jīng) Quartus II 仿真 后 的結(jié)果 及生成的 RTL 電路 如圖 、 示,源程序 如下 : library ieee。 use 。 use 。 use 。 entity clkgen is port(clk:in std_logic。 clk_scan: out std_logic)。 end entity clkgen。 architecture one of clkgen is signal t:integer range 0 to 49。 begin process(clk) begin if clk39。event and clk=39。139。 then if t=49 then t=0。 else t=t+1。 組 合 電 路 存 儲(chǔ) 電 路 輸入 輸 出 南昌航空大學(xué)學(xué)士學(xué)位論文 16 end if。 end if。 end process。 process(t,clk) begin if clk39。event and clk=39。139。 then if t=49/2 then clk_scan=39。139。 else clk_scan=39。039。 end if。 end if。 end process。 end。 圖 時(shí)鐘產(chǎn)生電路 時(shí)序仿真波形圖 圖 時(shí)鐘產(chǎn)生電路 RTL電路 圖 從波形圖 可以看出, clk_sa 得到的波形頻率是時(shí)鐘脈沖信號(hào)的 1/50,也就是將時(shí)鐘脈沖信號(hào) clk 頻率除 50。 鍵盤掃描電 路設(shè)計(jì) 及仿真 掃描電路是用來提供鍵盤掃描信號(hào) (表 key_drv4 — key_drv0)的電路,掃描信號(hào)變化的順序依次為“ 11110— 1110l— 11011— 10111— 01111 — 11110”, 并依次循環(huán)掃描 。掃描時(shí), 掃描信號(hào)都只會(huì)給其中一行賦低電平信號(hào) 依次分別掃描四列按鍵, 當(dāng)掃描信號(hào)為 11110 時(shí),掃描 第一行 按鍵。當(dāng)掃描信號(hào)為 11101 時(shí),掃描 第二行 按鍵。當(dāng)掃描信號(hào)為 11011時(shí),掃描 第三行 按鍵。當(dāng)掃描信號(hào)為 10111 時(shí),掃描 第四行 按鍵。 當(dāng)掃描信號(hào)為 01111 時(shí),掃描第五行按鍵。 每掃描一 行 按鍵, 都會(huì)產(chǎn)生由南昌航空大學(xué)學(xué)士學(xué)位論文 17 key_drv4 — key_drv0 和 key_in4? key_in0 組成的鍵值編碼,然后交由鍵值譯碼電路完成譯碼 。 掃描電路仿真波形 見 圖 , RTL 圖見圖 。 掃描電路的源程序如下: library ieee。 use 。 use 。 use 。 entity keyscan is port(clk_scan:in std_logic。 key_drv:out std_logic_vector(4 downto 0))。 end entity keyscan。 architecture one of keyscan is constant S0: std_logic_vector(4 downto 0):=11110。 constant S1: std_logic_vector(4 downto 0):=11101。 constant S2: std_logic_vector(4 downto 0):=11011。 constant S3: std_logic_vector(4 downto 0):=10111。 constant S4: std_logic_vector(4 downto 0):=01111。 signal present_state:std_logic_vector(4 downto 0)。 signal next_state:std_logic_vector(4 downto 0)。 begin process(clk_scan) begin ifclk_scan39。event and clk_scan=39。139。 then present_state=next_state。 end if。 end process。 process(present_state) begin case present_state is when S0=next_state=S1。 when S1=next_state=S2。 when S2=next_state=S3。 when S3=next_state=S4。 when S4=next_state=S0。 when others=next_state=S0。 end case。 end process。 key_drv=present_state。 end。 南昌航空大學(xué)學(xué)士學(xué)位論文 18 圖 按鍵掃描程序波形仿真圖 圖 按鍵掃描模塊的 R
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1