freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的實(shí)用電子砰設(shè)計(jì)論(留存版)

  

【正文】 單元電路設(shè)計(jì) ............................................................ 7 稱(chēng)重傳感器 .............................................................. 7 前 端信號(hào)處理 ............................................................ 8 A/D轉(zhuǎn)換電路 ............................................................. 9 .......................................................... 10 ............................................................ 11 ...................................................... 11 LCD顯示接口電路 .................................................. 12 LED數(shù)碼管顯示電路 ................................................ 12 日歷時(shí)鐘電路 ........................................................... 13 電源電路 ............................................................... 14 其它擴(kuò)展電路 ........................................................... 14 通訊接口電路 ..................................................... 14 語(yǔ)音電路 ......................................................... 15 報(bào)警電路 ......................................................... 16 基于 FPGA 的電子稱(chēng)設(shè)計(jì) 第 3 頁(yè) 共 33 頁(yè) 第三章 系統(tǒng)軟件的設(shè)計(jì) ......................................................... 16 .................................................. 16 .......................................................... 16 .................................................... 16 VHDL模塊設(shè)計(jì) ..................................................... 17 .............................................. 19 程序清單及仿真 ......................................................... 19 第四章 系統(tǒng)測(cè)試 ............................................................... 21 ............................................................ 21 ............................................................... 21 ...................................................... 21 .......................................................... 21 .................................................... 22 第五章 設(shè)計(jì)總結(jié) ............................................................... 22 參考文獻(xiàn) ....................................................................... 22 附錄1 主要元 器件清單 .......................................................... 23 附錄 2 印制板圖 ............................................................... 23 附錄 3 程序清單 ............................................................... 24 基于 FPGA 的電子稱(chēng)設(shè)計(jì) 第 4 頁(yè) 共 33 頁(yè) 第一章 系統(tǒng)方案的設(shè)計(jì) 電子稱(chēng)的設(shè)計(jì)要求 基本要求 1) 能用簡(jiǎn)易鍵盤(pán)設(shè)置單價(jià),加重后能同時(shí)顯示重量、金額和單價(jià); 2) 重量顯示:?jiǎn)挝粸楣?;最大稱(chēng)重為 ,重量誤差不大于177。 采用 FPGA測(cè)頻測(cè)量精度高,測(cè)量頻率范圍大, 而且 編程靈活 、 調(diào)試方便 , 本設(shè)計(jì)要求的精度較高, 所以要求系統(tǒng)的穩(wěn)定性要好, 抗干 擾能力要強(qiáng) 。 方案 三 采用專(zhuān)用儀表放大器 INA126實(shí)現(xiàn)。對(duì)正負(fù)對(duì)稱(chēng)的工頻干擾信號(hào)積分為零,所以對(duì)50HZ的工頻干擾抑制能力較強(qiáng),對(duì)高于工頻干擾(例如噪聲電壓)已有良好的濾波作用。 從圖中可以看到系統(tǒng)的基本工作流程和各單元電路所用到的核心器件,其中控制器采用 Xilinx公司可編程器件 FPGA為核心,基于 ISE 軟件平臺(tái) ,采用 VHDL編程實(shí)現(xiàn)數(shù)據(jù)處理、 LED 和 LCD 驅(qū)動(dòng)、時(shí)鐘芯片的 I2C 通訊、鍵盤(pán)控制等模塊, 其它單元電路在前面都有所介紹。 輸入電阻 Ω 420177。但 A/D 轉(zhuǎn)換器精度與時(shí)鐘頻率的漂移無(wú)關(guān)。 系統(tǒng)實(shí)現(xiàn)的功能主要通過(guò)軟件的編程實(shí)現(xiàn), FPGA 內(nèi)部分為四個(gè)模塊:數(shù)據(jù)處理模塊、 LED 和 LCD 驅(qū)動(dòng)模塊、 I2C 通訊模塊和鍵盤(pán)控制模塊,各模塊的設(shè)計(jì)將在第三章詳細(xì)介紹。系統(tǒng)采用 PCF8563完成時(shí)鐘設(shè)計(jì), PCF8563 是 Philips 公司推出的一款帶 I2C總線 , 具有極低功耗QX1QX2QX3QX4QX5QX6QX7QX810KR1710KR1810KR1910KR2010KR2110KR2210KR2310KR24470R25470R26470R27470R28470R29470R30470R31470R32LALBLCLDLELFLGLHLALBLCLDLELFLGLHLALBLCLDLELFLGLHA1B2C3G2A4G2B5G16Y77GND8Y69Y510Y411Y312Y213Y114Y015VCC1674HC138VCCOE1D02D13D24D35D46D57D68D79GND10LE11Q712Q613Q514Q415Q316Q217Q118Q019VCC2074HC573(2)VCCAX0 AX1 AX2 AX3 AX4 AX5 AX6 AX7DX0 DX1 DX2 DX3 DX4 DX5 DX6 DX7DXA DXB DXCVCCVCCVCCVCCVCCVCCVCCVCCA11B7C4D2E1F10G5H3112293846DIS1A11B7C4D2E1F10G5H3112293846DIS23 數(shù)碼管顯示電路連接圖 基于 FPGA 的電子稱(chēng)設(shè)計(jì) 第 14 頁(yè) 共 33 頁(yè) 的多功能時(shí)鐘 /日歷芯片, 它 具有四種報(bào)警功能和定時(shí)功能;內(nèi)部時(shí)鐘電路、內(nèi)部振蕩電路、內(nèi)部低電壓檢測(cè)以?xún)删€制 I2C 總線通信 方式,不但使用外圍電路簡(jiǎn)潔,而且增加了芯片的可靠性。 C 1+1C 13C 2+4C 25T 1I N11T 2I N10R 1O U T12R 2O U T9R 2I N8R 1I N13T 2O U T7T 1O U T14GND15V6V+2V C C162M A X 23 21042C 21042C 31042C 51042C 41042C 62T X D2R X DV C C162738495J T X 圖 RS232 通訊接口電路 語(yǔ)音電路 語(yǔ)音電路 是系統(tǒng)主要擴(kuò)展功能之一, 能夠由語(yǔ)音電路發(fā)出超載、欠量程或商 品重量、價(jià)格等語(yǔ)音提示。比如本系統(tǒng)中 TLC7135 的時(shí)鐘信號(hào) clkout1 就是對(duì) 標(biāo)準(zhǔn) clk 進(jìn)行分頻 ,分頻系統(tǒng)為 200。 開(kāi)始 結(jié)束 發(fā)開(kāi)始信號(hào) 寫(xiě)器件地址 寫(xiě)器件從地址 寫(xiě)寄存器地址 寫(xiě)入初始化數(shù)據(jù) 發(fā) 結(jié)束信號(hào) 寫(xiě)器件地址 寫(xiě)器件從地址 重發(fā)開(kāi)始信號(hào) 寫(xiě)器件讀命令 讀各個(gè)寄存器 發(fā)結(jié)束信號(hào) 發(fā)開(kāi)始信號(hào) 寫(xiě) PCF8563 各個(gè)寄存器 讀 PCF8563 各個(gè)寄存器 基于 FPGA 的電子稱(chēng)設(shè)計(jì) 第 19 頁(yè) 共 33 頁(yè) 圖 數(shù)據(jù)處理模塊原理圖 4. 譯碼顯示模塊 譯碼顯示模塊是建立在內(nèi)部系統(tǒng)和觀測(cè)人之間的橋梁。接下來(lái)對(duì)整 個(gè)系統(tǒng)進(jìn)行調(diào)試,其方法如下: 將傳感器放平,接好電路, 使用直流穩(wěn)壓電源( DF1731SC2A) 為 FPGA及其他電路提供 +5V電壓, 為傳感器提供 12V電壓, 不放任砝碼時(shí)看重量顯示是否為零,不為零則調(diào)整電位器,改變放大器的放大倍數(shù),使 重量值為零,加上不同的砝碼,記下顯示的重量 Mx(Kg)。 復(fù)位信號(hào) keynum : in std_logic_vector(9 downto 0)。 end top。 sda : inout std_logic。 str : in std_logic。 液晶復(fù)位 psb : out std_logic。 use 。 數(shù)據(jù) RAM 乘法 模塊 接收 AD 轉(zhuǎn)換數(shù)據(jù) 電壓 重量 轉(zhuǎn)換模塊 至譯碼模塊 判斷 模塊 報(bào)警信號(hào) 鍵盤(pán)控制 基于 FPGA 的電子稱(chēng)設(shè)計(jì) 第 20 頁(yè) 共 33 頁(yè) 各模塊的時(shí)序仿真圖見(jiàn)附錄。系統(tǒng)將商品的代號(hào)、名稱(chēng)、單價(jià)等信息存于 數(shù)據(jù) RAM 中,當(dāng) 接收 到 AD 轉(zhuǎn)換器的數(shù)據(jù) (電壓信號(hào))后 , 將其轉(zhuǎn)化為相應(yīng)重量數(shù)據(jù)信號(hào), 并將其進(jìn)行 乘法運(yùn)算得到金額,即 金額 =重量單價(jià) 并自動(dòng)檢測(cè)商品是否大于稱(chēng)重傳感器的量程,如果大于傳感器的量程則向報(bào)警電路輸出一個(gè)低電平信號(hào) BG,使發(fā)光二極管點(diǎn)亮。 系統(tǒng)軟件的設(shè)計(jì) 根據(jù)系統(tǒng)的要求 ,系統(tǒng)的大部分功能都 以硬件為基礎(chǔ), 通過(guò) VHDL編程實(shí)現(xiàn) ,本設(shè)計(jì)軟件實(shí)
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1