freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多路搶答器設(shè)計(jì)_eda課程設(shè)計(jì)(專業(yè)版)

2025-09-07 22:00上一頁面

下一頁面
  

【正文】 u5:alarm port map(clk2,s,q_out)。 q:out std_logic)。 17 tmp:out std_logic。 END IF 。 ARCHITECTURE rtl OF clkdiv IS SIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0)。 5 14 WHEN 0110=DOUT7=1011111。 END IF。 SIGNAL N:INTEGER RANGE 0 TO 20。 end if。 entity sjxz is port (a,b,c: in std_logic_vector(3 downto 0)。139。139。 entity js is port(clk,rst,s,stop:in std_logic。 tmp=s0 or s1 or s2 or s3。 ) then st(2)=39。139。)and not( st(1)=39。 states:buffer std_logic_vector(3 downto 0)。 數(shù)據(jù)選擇模塊: 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中的數(shù)據(jù)輸入功能, 輸入信號(hào) a[3..0]、 b[3..0]、c[3..0];計(jì)數(shù)輸出信號(hào) s;數(shù)據(jù)輸出信號(hào) y; 計(jì)數(shù)脈沖 clk2,實(shí)現(xiàn) a、 b、 c 按脈沖輪流選通,在數(shù)碼管上顯示。 3 搶答器的設(shè)計(jì)分析 按照要求,我們可以將整個(gè)系統(tǒng)分為四個(gè)主要模塊:搶答鑒別模塊;搶答計(jì)時(shí)模塊;搶答計(jì)分模塊;譯碼顯示模塊。039。139。 end if 。 or st(3)=39。 elsif (st=0010) then states=0010。 begin p1:process(clk,rst,s,stop,ta) begin 8 if rst=39。 end if。 end if。 architecture body_chooser of sjxz is signal count: std_logic_vector (1 downto 0)。 end case。 THEN IF I=39。 USE 。 END CASE。 ELSE Count = count +1。 (七)頂層文件 VHDL 源程序 library ieee。 ta,tb:buffer std_logic_vector(3 downto 0))。 begin a=ledout(6)。 五 、 實(shí)驗(yàn)設(shè)備 計(jì)算機(jī), EL 教學(xué)實(shí)驗(yàn)箱 21 六 、心得體會(huì) 通過這次對(duì)搶答器的設(shè)計(jì)和實(shí)踐,學(xué)到了很多的東西,不僅鞏固了以前所學(xué)的知識(shí),而且學(xué)到了書本上沒有的東西,在調(diào)試中每修改一個(gè)小的錯(cuò)誤,自己心中 的成就感就徒增,特別是把一個(gè)程序模塊轉(zhuǎn)化成原理圖時(shí),自己從課本看到是一段話“首先打開要轉(zhuǎn)換的 VHDL 文件,選擇 FileCreat/UpdateCreat symbel/Files for current File 命令,即可將當(dāng)前文件變成一個(gè)元件符號(hào) SINGT,然后再于另一工程中調(diào)用此元件,但必須注意,此工程和已生成的都在同一文件夾中 ” ——— 課本 P130 頁,這一段話一開始讓我不知其解,后到百度搜索,發(fā)現(xiàn)也是 這幾句話,后看到軟件中的 File中 ” BlockDiagram/Schematic File” ,又去查英語字典,原來要先建立空白的原理圖再到工程中添加已生成的模塊圖,覺的書本寫的很簡潔,但 實(shí)際操作起來卻是另外一種感覺,真是“紙上得到終覺淺, 絕 知此事要躬行” 這句話的含義。g=ledout(0)。 end ponent。 m:out std_logic_vector(1 downto 0)。139。 15 USE 。 0 WHEN 0001=DOUT7=0110000。AND N=19)THEN WARN =NOT WARN。 USE 。event and clk2=39。其中有搶答時(shí)鐘信號(hào) clk2;系統(tǒng)復(fù)位信號(hào) rst;搶答使能信號(hào) s;搶答狀態(tài)顯示信號(hào) states;無人搶答警報(bào)信號(hào) warn;計(jì)時(shí)中止信號(hào) stop;計(jì)時(shí)十位和個(gè)位信號(hào) tb, ta。 or stop=39。event and clk=39。 仿真圖 : 搶答鑒別模塊圖 7 在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中的搶答功能,并能對(duì)超前搶答進(jìn)行警告,還能記錄無論是正常搶答還是朝前搶答者的臺(tái)號(hào),并且能實(shí)現(xiàn)當(dāng)有一路搶答按鍵按下時(shí),該路搶答信號(hào)將其余個(gè)綠搶答封鎖的功能。 or st(2)=39。139。 or st(1)=39。139。 L E D AL E D BL E D CL E D D 4 二 、 單元電路設(shè)計(jì) (一)搶答鑒別模塊 VHDL 源程序 library ieee。 目 錄 目 錄 ...................
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1