freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計出租車計價器(更新版)

2025-08-07 06:27上一頁面

下一頁面
  

【正文】 頻。、具體的方案設(shè)計、硬件電路方案設(shè)計以下為硬件電路的結(jié)構(gòu)簡圖: CPLD 模塊動態(tài)顯示電路時鐘控制模塊PC機開關(guān)電路下載電路各模塊的組成和原理:(1)時鐘控制模塊此模塊是555電路所構(gòu)成的。2. 實現(xiàn)預(yù)置功能:能預(yù)置起步費、每公里收費、車行加費里程、等待加費時間。如同此前進行過的。出現(xiàn)以下窗口。(3) 創(chuàng)建新工程按下“保存”按紐后會出現(xiàn)如下提示。即利用邏輯綜合工具,將RTL方式描述的程序轉(zhuǎn)換成用基本邏輯元件表示的文件(門級網(wǎng)絡(luò)表)。一般來說,對系統(tǒng)進行行為描述的目的是試圖在系統(tǒng)設(shè)計的初始階段,通過對系統(tǒng)行為描述的仿真來發(fā)現(xiàn)設(shè)計中存在的問題。寄存器傳輸級和邏輯門多個設(shè)計層次,支持結(jié)構(gòu)、數(shù)據(jù)流和行為三種描述形式的混合描述,因此VHDL幾乎覆蓋了以往各種硬件俄語言的功能,整個自頂向下或由下向上的電路設(shè)計過程都可以用VHDL來完成。中國華大集成電路設(shè)計中心,也提供IC設(shè)計軟件,但性能不是很強。與CAD相比,CAE除了有純粹的圖形繪制功能外,又增加了電路功能設(shè)計和結(jié)構(gòu)設(shè)計,并且通過電氣連接網(wǎng)絡(luò)表將兩者結(jié)合在一起,實現(xiàn)了工程設(shè)計。第2章介紹出租車計費系統(tǒng)的原理和方案設(shè)計第3章介紹了軟件設(shè)計。Key words ultrahighspeed integrated circuit hardware description language, counters, Quartus II , highspeed integrated circuits 目錄 摘要……………………………………………………………………2序言……………………………………………………………………5第一章EDA與QuartusII開發(fā)系統(tǒng)介……………………………………6 EDA發(fā)展況……………………………………………………………………6 硬件描述語VHDL…………………………………………………………….7 VHDL的簡介……………………………………………………………….7 VHDL的流程設(shè)計………………………………………………………….7 QuartusII 軟件操作流程……………………………………………………8第二章 課題概述………………………………………………………………10 出租車計費系統(tǒng)的實驗任務(wù)及求……………………………………………10 、出租車計費系統(tǒng)的原理和方案計……………………………………………10 、具體的方案計…………………………………………………………………11………………………………………………………….11………………………………………………………………12第三章 硬件路…………………………………………………………………13 時鐘電路………………………………………………………………………13 555電路………………………………………………………………………13…………………………………………………………………………14………………………………………………………………………15……………………………………………………………………15第四章 計費系統(tǒng)的VHDL計………………………………………………15 分頻器…………………………………………………………………………16 標志模塊………………………………………………………………………17 等待模塊………………………………………………………………………19 計程模塊………………………………………………………………………21 計費模塊………………………………………………………………………24 譯碼模塊………………………………………………………………………28第五章 總程序的設(shè)計及其實現(xiàn)的結(jié)果………………………………30 實物圖…………………………………………………………………………28 輸入、輸出信號說明…………………………………………………………30 波形仿真………………………………………………………………………31 設(shè)計中需要注意的問題………………………………………………………32總結(jié)………………………………………………………………………………32致謝………………………………………………………………………………33參考文獻…………………………………………………………………………33附錄一……………………………………………………………………………34附錄二……………………………………………………………………………35出租車計費器序 言隨著當代電子信息技術(shù)的發(fā)展,自動計費器被廣泛的用于各個系統(tǒng),例如上網(wǎng)自動計費系統(tǒng)、電話計費器、出租車計費器等等。在設(shè)計過程中,重點探討了出租車自動計費電路的設(shè)計思路和功能模塊劃分。利用它進行產(chǎn)品開發(fā),不僅成本低、周期短、可靠性高,而且具有完全的知識產(chǎn)權(quán)。驗證結(jié)果表明設(shè)計的出租車自動計費電路完成了預(yù)期的功能。本次課程設(shè)計鞏固和運用了所學課程,通過理論聯(lián)系實際,提高了分析、解決計算機技術(shù)實際問題的獨立工作能力,通過對一個出租車計費器的設(shè)計,進一步加深了對計算機原理以及數(shù)字電路應(yīng)用技術(shù)方面的了解與認識,進一步熟悉了數(shù)字電路系統(tǒng)設(shè)計、制作與調(diào)試的方法和步驟。本課題的主要設(shè)計工作內(nèi)容是能夠顯示出租車的車費和里程并完成安裝與調(diào)試。 中國EDA市場已漸趨成熟,不過大部分設(shè)計工程師面向的是PC主板和小型ASIC領(lǐng)域,僅有小部分(約11%)的設(shè)計人員開發(fā)復雜的片上系統(tǒng)器件。 EDA技術(shù)發(fā)展迅猛,完全可以用日新月異來描述。(3)VHDL的設(shè)計不依賴于特定的器件,方便了工藝的轉(zhuǎn)換。這一層次稱為寄存器傳輸描述(又稱數(shù)據(jù)流描述)。應(yīng)用邏輯綜合工具產(chǎn)生的門網(wǎng)絡(luò)表,將其轉(zhuǎn)換成PLD的編程碼,即可利用PLD實現(xiàn)硬件電路的設(shè)計。(4) 器件的選擇繼續(xù)點“Next ”。之后點進行仿真。成功下載后如圖所示:下載完后就可以在實驗箱查看結(jié)果。5. 用VHDL語言設(shè)計符合上述功能要求的出租車計費器,并用層次化設(shè)計方法設(shè)計該電路。(3)開關(guān)模塊:該模塊的作用是用于電路的輸入的信號。該模塊還包含一個路程計費標志的小模塊,輸出一個路程計費的信號。用來產(chǎn)生時間基準信號的電路稱為時基電路。ByteBlaster與PC機并口相連的一端是25針插座頭, 與CPLD板插座相連的是10針插座頭。 WR:等待、行駛開關(guān)。該模塊還包含一個路程計費標志的小模塊,輸出一個路程計費的信號。USE 。EVENT AND CLK0=39。 END IF。USE 。EVENT THEN IF CNT=1111111111 THEN CNT:=0000000000。(3)波形仿真從該波形圖可以看出在輸入的按鍵信號下降沿來臨時輸出的標志信號取反,且剛開始為低電平。END ENTITY DDZT。 ELSIF DDBZ=39。Q0:=0000。 END IF。從上面的說明可以看出程序達到了所設(shè)計的功能。beginprocess(clks,SS,WR,LC) VARIABLE SW:STD_LOGIC_VECTOR(1 DOWNTO 0)。EVENT AND CLKS=39。 END IF。use 。039。綜合以上兩副仿真圖可以看出本程序滿足設(shè)計要求。 VARIABLE CI : std_logic_vector(4 downto 0)。 for i in 0 to 1 loop SA(i) := (39。 if ((SA(i)(4)=39。 RETURN SOUT。 DN:IN STD_LOGIC。 BEGIN IF SS=39。039。 THEN FY1(11 DOWNTO 5):=(OTHERS=39。 IF DN=39。 ELSIF DDJFBZ=39。(3)波形仿真黑夜時候的仿真白天時的仿真符合設(shè)計要求。end entity YMQ。 when 0111 =dout1=0001111。 when 0011 =dout0=0000110。end case??梢郧逦目闯龀绦虻倪\算規(guī)則。CPLD作為一種順應(yīng)EDA發(fā)展潮流的設(shè)計方法,得到了迅速的發(fā)展。由于學習時間短,對EDA的研究以及使用CPLD進行電子設(shè)計的方法并不是鉆研的很透徹,但是通過一個學期的學習,已經(jīng)基本掌握了使用CPLD進行電子設(shè)計的步驟,為以后繼續(xù)研究EDA技術(shù)打下了基
點擊復制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1