freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

數字電路與邏輯設計實驗報告(完整版)

2025-09-09 01:36上一頁面

下一頁面
  

【正文】 。139。139。 每個時鐘周期出一張票num :=num1。039。) then 投幣期間取消操作,直接進入找零狀態(tài)退還所投錢幣MoneyInputAlarm=39。139。 投幣錢數計數增加1Minput=minput+00001。 未選票,停留在選票狀態(tài) end if 。 Tnumber=1101101。 等待選擇購票數量狀態(tài)if (Cancelkey=39。 010代表選擇單價2元車票 when 100 = Tm:=6。 數碼管顯示0Changeout=0000 。039。139。 車票單價 variable totalmoney,castmoney,restmoney: integer range 0 to 27。03 when 10 = Toutnum0 =1101101。04 when 0011 = Changeout0 =1111001。 end case。Minput2 =1111001。Minput2 =1110000。Minput2 =0110000。Minput2 =1011011。Minput2 =1111011。Minput2 =1111001。Minput2 =1110000。 when 2 = SG = Minput1 。139。 A = 4 。 A = 0 。 輸出零錢數顯示signal Changeout:std_logic_vector(3 downto 0)。signal Tstyle:std_logic_vector(6 downto 0)。reset:instd_logic。 end SubwayAutoTicketSellSystem。 所購票數 Money: in std_logic_vector(2 downto 0)。use 。div_500k是系數為500k的分頻,為的是把實驗板50mHz的頻率分為100Hz,使防抖電路正常工作。就可靠性而言,狀態(tài)機的優(yōu)勢也是十分明顯的。無論與基于VHDL的其它設計方案相比,還是與可完成相似功能的CPU相比,狀態(tài)機都有其難以逾越的優(yōu)越性,它主要表現在以下幾方面:由于狀態(tài)機的結構模式相對簡單,設計方案相對固定,特別是可以定義符號化枚舉類型的狀態(tài),這一切都為VHDL綜合器盡可能發(fā)揮其強大的優(yōu)化功能提供了有利條件。便于由多個設計者同時進行設計從而加速整個項目的開發(fā)進度;每個子模塊都能夠靈活使用綜合和實現工具獨立進行優(yōu)化,從而達到更好的優(yōu)化結果;調試、更改某個子模塊時,不會影響其他模塊的實現結果,保證了整個設計的穩(wěn)定性與可靠性。實驗之前設計的是3種票類型用2位二進制碼表示,因為考慮到仿真及下載到實驗板模擬的方便,遂將之改為3位,使之每一個類型用一個按鍵控制。e) 一次購票成功后系統(tǒng)能夠恰當地轉入下一次購票等待狀態(tài)。b) 能夠開機自檢,檢驗顯示器件正常。選擇出站口的過程中,若按“取消”按鈕,則售票機直接進入到找零狀態(tài);投幣過程中,若按“取消”,則售票機將乘客已經投入的錢幣全部退出,即進入找零狀態(tài)。 采用模塊化設計方法即從整個系統(tǒng)的功能出發(fā), 將系統(tǒng)的整體逐步分解為若干個子系統(tǒng)和模塊,然后用VHDL語言對各個模塊進行編程,最后形成頂層文件,在QuartusⅡ環(huán)境下進行編譯與仿真,檢查所編程序是否運行正確。時序進程的實質是一組觸發(fā)器,因此,該進程中往往也包括一些清零或置位的輸入控制信號,如Reset信號。由于在VHDL中,一個狀態(tài)機可以由多個進程構成,一個結構體中可以包含多個狀態(tài)機,而一個單獨的狀態(tài)機(或多個并行運行的狀態(tài)機)以順序方式的所能完成的運算和控制方面的工作與一個CPU類似。按一次鍵,只產生一個脈沖,脈沖寬度為防抖動時鐘的脈寬。h). 系統(tǒng)自動找零系統(tǒng)自動找零,Mout[0]=’1’持續(xù)兩個周期代表檢測restmoney1,依次找出2張1元的紙幣,找零結束,6個數碼管顯示632032,State=”10000”代表狀態(tài)停留在找零階段。 系統(tǒng)時鐘 Cancelkey: in std_logic。 系統(tǒng)狀態(tài)指示 beep:outstd_logic。 clk_out2: out std_logic)。 臨時時鐘 signal clk_tmp2:std_logic。 投入金額計數 signal Toutnum0:std_logic_vector(6 downto 0)。u5:keyin port map(clk_in1=clk_tmp2,reset=money(1),resetn=moneyn(1))。when 3 = BT = 111011 。 end process。 end process。 end case 。25when 11000 = Minput1 =1101101。21when 10100 = Minput1 =1101101。17when 10000 = Minput1 =0110000。13when 01100 = Minput1 =1111110。09when 01000 = Minput1 =1111110。05when 00100 = Minput1 =1111110。01when 00000 = Minput1 =1111110。07 when 0110 = Changeout0 =1011111。 end case。 end process。139。039。 數碼管顯示0Tnumber=1111110。 Tstyle=1101101。 選票種結束,進入選票數狀態(tài) elsenext_state := selstyle。 Tnumber=0110000。end case。 then 下載時所用投幣按鍵1元 if Money(0)=39。 then 仿真時所用投幣按鍵5元castmoney := castmoney+5。 投幣數碼管顯示增加10 連續(xù)投幣,并進行累加 end if。139。 等待出票狀態(tài) casenum is when 0 =null。 Toutnum=toutnum+01。 出票結束,余額找出 elsenext_state :=ticketout。 等待找零狀態(tài) Tout=39。找零減1 Mout=01。 then 找零結束,回到選站狀態(tài) next_state :=selstyle。039。 Tout=39。Toutnum=00。 end behave。beginp1:process(clk_in)beginifclk_in39。p2:process(temp)beginif temp=1999 thenclk_out=39。USE 。139。elseclk_out2=39。reset:instd_logic。 resetmp1=reset。系統(tǒng)進入第四個狀態(tài), LD4亮, state=01000,Ticketout,出票狀態(tài);g) 系統(tǒng)自動出票,數碼管DISP1顯示數字0,出票一張,DISP1顯示數字1,判斷票沒有出完,再出一張,DISP1顯示數字2,判斷票沒有出完,再出一張,DISP1顯示數字3。不過,到現在也不能確定,如果剛開始就軟硬結合會是怎樣。為resetn= resetmp1 and (not resetmp2)。最后,在團結合作能力方面,雖然每個人有每個人的題目,但是我們還是充分發(fā)揮了我們的團結合作能力,找到程序的同學幫沒找到的同學找,有什么錯誤的大家一起討論,一起找資料解決,最后,實在不行還有我們堅強的后盾——我們的指導老師,所以我們合作得很快樂。最后再一次感謝所有在設計中曾經幫助過我的良師益友和同學。同時,也再次體會到了團結合作的快樂。之前嘗試過將頻率分的特別低,這樣按鍵反應就會慢,可是,有時候把握不好時間,還是會一次跳幾個,有時候還會不跳。按鍵防抖在選擇車票單價和車票數量時,由于程序原因,不會按一次鍵就跳幾個數字,使之在數碼管上不能一步一步顯示數字。圖20h) 系統(tǒng)自動找零,數碼管DISP0顯示數字0,找零1元,LD2亮,代表找零1元(LD1亮代表找零5元),數碼管DISP0顯示1,判斷restmoney :=castmoneytotalmoney是否為0,不為零,再找出一元,LD2亮,代表找零1元,數碼管DISP0顯示2,找零結束,6個數碼管顯示632032。end process。endkeyin。end if。else temp=temp+1。entity div_500k is 防抖信號分頻為100Hzport(clk_in2:in std_logic。elseclk_out=39。139。USE 。else next_state := givechange。Mout=00。 延時500ms蜂鳴器停止工作 when others = 其他任何情況,均回到選站狀態(tài) next_state := selstyle。 castmoney:=0。 elseMout=00。 empty:=39。 beep=39。 出票數減1 Tout =39。139。 投幣不足,繼續(xù)進入投幣狀態(tài)elseMoneyInputAlarm=39。139。 投幣數碼管顯示增加5elsifMoneyn(2)=39。 then 仿真時所用投幣按鍵1元castmoney := castmoney+1。 選票結束,進入投幣狀態(tài) elsenext_state := selticket。 num:=2。 when selticket
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1