freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

vga圖像顯示控制器設(shè)計報告(完整版)

2025-10-17 17:34上一頁面

下一頁面
  

【正文】 ................................................................................................... 40 第四部分 總結(jié)與結(jié)論 ............................................................................................................ 41 ........................................................................................................................ 41 ........................................................................................................................ 41 第五部分 附錄部分 ................................................................................................................ 43 ........................................................................................................ 43 ................................................................................................................. 43 ............................................................................................................................ 59 ........................................................................................................................ 67 數(shù)字電路綜合 實驗I 摘 要 本實驗報告為 VGA顯示控制器設(shè)計報告。報告 第 1頁 第一部分 任務(wù)要求 課題要求 設(shè)計一個 VGA 圖像顯示控制器。 從系統(tǒng)邏輯結(jié)構(gòu)出發(fā),將系統(tǒng)設(shè)計為 3 層。詳述于 小節(jié)中。報告 第 5頁 圖 VGA顯示控制器系統(tǒng)邏輯框圖 如圖 ,系統(tǒng)可邏輯地劃分為三個子系統(tǒng):一是輸入子系統(tǒng),包括輸入檢測和輸入寄存兩個功能;二是模式檢測子系統(tǒng),根據(jù)用戶輸入決定工作模式,將模式信息傳遞給 VGA 控制器;三是 VGA 控制子系統(tǒng),該子系統(tǒng)中可進(jìn)一步劃分出為多個模塊,負(fù)責(zé)依據(jù)模式碼產(chǎn)生對應(yīng)模式的同步信號、顏色和圖形信號等,從 VGA接口輸出到 CRT顯示器進(jìn)行顯示。定義實體為VGAController,在其中例化子模塊。 輸入: 50MHz晶振信號。報告 第 7頁 輸入:行和列像素位置信號。完成設(shè)計目標(biāo)中用戶模式下的 3 種子模式。 在本實驗的具體設(shè)計中,控制器的作用和與子系統(tǒng)的耦合關(guān)系可由圖 。 控制器的描述在頂層完成。具體硬件語言描述時也只是定義狀態(tài)為枚舉類型,由編譯器決定如何對狀態(tài)編碼和優(yōu)化,事實上,從 QuartusII 編譯綜合的結(jié)果看, OneHot的編碼方式。報告 第 11頁 圖 橫彩模式流程圖 S2 是純色模式,由撥碼開關(guān) SW2~ SW7 分別代表 RGB 三基色,每個基色 2 個比特,只要在 VGAColor 中讀取出這 6個比特組合成為顏色碼輸出到 VGA接口即可。報告 第 12頁 圖 用戶模式狀態(tài)轉(zhuǎn)移圖 UserMode 模塊的輸入行列像素位置信號 hpos 和 vpos、按鍵控制信號 bt0~ bt4,首先根據(jù) hpos 和 vpos 畫出靜態(tài)的圖形,由于繪制圓形色塊耗費的器件資源實驗板無法提供,這里選擇了畫正方形色塊。此種運動的關(guān)鍵點在于到達(dá)左右邊界時使得 hmov 反向,到達(dá)上下邊界時使得 vmov 反向。 值得說明的是, hmov 和 vmov 在實際硬件語言描述時,要利用選擇器根據(jù)子模式US0、 US US2 的不同進(jìn)行選擇,對信號賦值,以解決多重驅(qū)動問題,同時實現(xiàn)狀態(tài)機(jī) 的設(shè)計。實際測試過程中也印證了這一點。 hs,vs,r0,r1,g0,g1,b0,b1: out std_logic)。 htout,vtout : out std_logic_vector(9 downto 0))。 ponent vgasig Define vag signal interface as a module port (clk25m: in std_logic。 sw : in std_logic_vector(5 downto 0)。 end vgapackage。報告 第 17頁 vt = vt,hsync = hs, vsync = vs, henable = hen, venable = ven)。報告 第 18頁 圖 QuartusII 生成的總體電路圖 分塊電路的描述 本節(jié)給出分塊電路的硬件描述,對關(guān)鍵部分進(jìn)行了分析。 when 01 = state = s1。 when s1 = colorstmp = colors1。其輸入信號來自于 PixelCNT模塊的像素位置信號 ht和 vt。 process(clk25m) hsync begin if (rising_edge(clk25m)) then if (ht = (640+8+8) and ht (640+8+8+96)) then hsync = 39。報告 第 21頁 圖 VGA列掃描狀 態(tài)轉(zhuǎn)移圖 列同步信號產(chǎn)生描述如下。 值得說明的是,由于時鐘誤差在所難免, VGA 標(biāo)準(zhǔn)實現(xiàn)時像素的計數(shù)要求并非一個像素點都不能差,微小誤差是可以接受的。 else henable = 39。 數(shù)字電路綜合 實驗 elsif hpos 640 and vpos 300 then colors0 = 100001。描述如下。 數(shù)字電路綜合 實驗039。039。 25MHz分頻描述如下,從 50MHz分頻到 25MHz分頻只要檢測上升沿即可。 else counter1 = counter1 + 1。 signal userstate:userstates :=us0。 scountmp1 = button(4)。 end if。 else usercolors = 000000。 process(clk100hz) user state: us0 begin pattern39。139。)。 process(clk100hz) user state:us1 begin Bounce at left and right borders if (rising_edge(clk100hz)) then if (userstate = us1) then if(hmovus1 610 or hmovus1 30) then borderlr = not borderlr。139。 數(shù)字電路綜合 實驗不妨設(shè)方塊從左往右運動,在前一個進(jìn)程中,當(dāng)hmovus1大于 610的瞬間(精確值為 ) borderlr 值翻轉(zhuǎn),在下面的進(jìn)程中同樣以100Hz的頻率對 borderlr 值進(jìn)行檢測,當(dāng)檢測到 borderlr 值改變的時候,實際的計數(shù)值hmovus1已經(jīng)大于了 610,根據(jù)器件的運行速度不同大于 610的程度不同,此時方塊反向向左運 動,即 hmovus1 進(jìn)行減法操作, hmovus1 必然從大于 610 的值往下減,當(dāng)還未減到小于 610 的數(shù)時電路會再次檢測 hmovus1 的值,發(fā)現(xiàn)大于 610 則 borderlr 值再次翻轉(zhuǎn),結(jié)果導(dǎo)致運動方向的再次反轉(zhuǎn), hmovus1 又進(jìn)行加法操作。報告 第 29頁 任何改變。 when others = null。 hmov = hmovus0。 數(shù)字電路綜合 實驗 圖 Clock 模塊仿真圖( 25MHz 分頻) 圖 Clock 模塊仿真圖( 1Hz 和 100Hz 分頻) 由于像素位置信息對于多個模塊中的計數(shù)判斷有重要作用,故進(jìn)行仿真驗證。但實際下載到實驗板實驗時沒有錯誤發(fā)生。 綜合器對狀態(tài)機(jī)的識別和優(yōu)化可能超出了系統(tǒng)設(shè)計者的預(yù)期,這也是硬件設(shè)計中應(yīng)該注意的問題,而且不同的綜合軟件 可能出現(xiàn)不同的綜合結(jié)果。從行列消隱信號 hs 和 vs 的狀態(tài)還可看出,在行消隱區(qū) RGB顏色值為黑色 000000。對于子模塊 UserMode,由于其圖形是動態(tài)的,且包含了 3種子模式 US0、 US US2,仿真出波形難以觀察出有效信息??梢栽诓桓淖兿到y(tǒng)框架和模塊間電路連接關(guān)系、不增加模塊的情況下對用戶模塊 UserMode 或者顏色圖形產(chǎn)生模塊 VGAColor 進(jìn)行任意的有效修改,實現(xiàn)其他功能。 數(shù)字電路綜合 實驗邏輯單元占用率 34%。 PixelCNT 模塊是依靠計數(shù)和比較來輸出像素位置的信息,生成的電路中使用的主要邏輯器件也是計數(shù)器和比較器。參看附錄圖 RTL圖。使用的描述語句主要是判斷和選擇,其中涉及較多比較數(shù)值大小的操作,根據(jù)比較結(jié)果選擇對進(jìn)行信號賦值。參看附錄圖 RTL圖。報告 第 38頁 VGAColor 模塊產(chǎn)生橫彩、縱彩、純色四種模式的顏色。 VGAMode 模塊輸入狀態(tài)控制信號 SW1 和 SW0 以及 VGA 消隱信號,完成狀態(tài)的轉(zhuǎn)移操作和 VGA顏色信號的生成。報告 第 37頁 再進(jìn)一步從圖 進(jìn)行分析,可以看出,資源占用最多的是 UserMode 子模塊,這是很容易理解的,該模塊定義了正方形色塊,僅這一個定義就采用了四個與操作,每個與操作塊內(nèi)部又有 10 位的比較器,運動圖形的產(chǎn)生運用了多處算數(shù)運算與比較,消耗的器件資源是很可觀的。 設(shè)計之初對資源使用沒有多少概念,時常以軟件的思想描述硬件,在描述中使用乘法等資源耗費嚴(yán)重的操作,也曾使用過嵌套多層的 IFELSE 語句,產(chǎn)生很長的選擇器,降低了模塊的可靠性,增加處理延時。限于學(xué)期末時間緊張,也因為設(shè)計的重點不在于顯示圖形多 么復(fù)雜,沒有再進(jìn)一步深入設(shè)計用戶子模塊。對于動態(tài)圖形的顯示,關(guān)鍵列出像素信息的不等式,然后依據(jù)一定的條件控制圖形參考坐標(biāo)的移動。報告 第 34頁 圖 所示為 S1 狀態(tài) 時縱向彩色條幅的仿真,沿行掃描時顏色信號 RGB 的值依次按照定義代碼中的定義變化,同樣也可看出消隱區(qū)的作用。報告 第 33頁 圖 (b) 頂層狀態(tài)機(jī)仿真波形圖 而圖 給出的底層用戶模式下的狀態(tài)機(jī)的仿真,由于其狀態(tài)轉(zhuǎn)移是以按鍵為條件的,為典型的 Mealy 型狀態(tài)機(jī),故以時序邏輯實現(xiàn)其狀態(tài)轉(zhuǎn)移,狀態(tài)機(jī)的行為可仿真。單純由按鍵直接控制下一狀態(tài)和 Mealy 與 Moore 型狀態(tài)機(jī)的定義存在很大差別,其狀態(tài)轉(zhuǎn)移是強(qiáng)制的,且與當(dāng)前狀態(tài)無關(guān),而僅與輸入狀態(tài)相關(guān)。 圖 PixelCNT 模塊仿真圖(列計數(shù) vt 值) 數(shù)字電路綜合 實驗仿真環(huán)境是 QuartusII 。 hmov = hmovus1。 end if。報告 第 30頁 process(clk100hz) user state:us2 begin if (rising_edge(clk100hz)) then if (userstate = us2) then if((hmovus2 610) or (vmovus2 450) or (hmovus2 30) or (vmovus2 30)) then hmovus2 = 0101000000。 解決問題的思路有兩種,一種是改變邊界檢測的的頻率和位置值改變的頻率,這一方法需要另外產(chǎn)生時鐘信號,將涉及到其它模塊的改動,且不易把握兩種檢測的時序配合關(guān)系,故沒有采用;另一種可 在到達(dá)邊界處加入判斷,導(dǎo)致“突變”反彈,例如 hmovus1 到達(dá) 610,則不讓其增加,而是將 hmovus1 賦
點擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1