freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

vga圖像顯示控制器設計報告-文庫吧在線文庫

2025-10-12 17:34上一頁面

下一頁面
  

【正文】 系統(tǒng)給到用戶,只要添加用戶模塊或者稍作修改即可完成非特定的功能。 由于頂層設計采用了有限狀態(tài)機和子系統(tǒng)分模塊描述的方法,使得控制器具有很好的擴展性。 仿真的重點在于控制器部分的狀態(tài)仿真。容易看出,系統(tǒng)當前正從第一個橫向彩條(顏色為 100100)轉(zhuǎn)向下一個彩條(顏色為 000001),這與代碼描述中定義值一致。圖中顯示的狀態(tài)機轉(zhuǎn)移正常,但由于 S0被綜合優(yōu)化掉了,故沒有顯示。仿真波形出現(xiàn)了未定狀態(tài)。仿真結(jié)果表明分頻模塊滿足設計要求。 end process。 process(clk100hz) begin if(rising_edge(clk100hz)) then case userstate is when us0 = vmov = vmovus0。 when 00001 = vmovus2 = vmovus2 + 1。這里將除了上下左右四種按鍵狀態(tài)之外的所有按鍵狀態(tài)均視為空狀態(tài),不對方塊位置做 數(shù)字電路綜合 實驗以左右邊界為例加以分析。 end if。 process(clk100hz) user state:us1 begin if (rising_edge(clk100hz)) then if (borderlr = 39。 以下只給出左右邊界的判斷和方向取反的描述。039。 vclk = 39。每 秒移動 60 個列像素點,移動完整個屏幕需要。 process(clk25m,hpos,vpos) begin if (rising_edge(clk25m)) then define graph :a rectangle if ((hpos (hmov 30)) and (hpos (hmov + 30)) and (vpos (vmov 30)) and (vpos (vmov + 30))) then usercolors = 100010。 end case。) then scountmp2 = scountmp1。 type userstates is (us0,us1,us2)。 clk1hz = not clk1hz。報告 第 24頁 Clock模塊的描述 Clock模塊主要描述分頻。 else vt = (others = 39。 else ht = (others = 39。 end process。 64種純色的產(chǎn)生只要把 SW2~ SW7對應賦值給顏色信號即可。 elsif hpos 640 and vpos 240 then colors0 = 010000。 VGAColor模塊的描述 該模塊 產(chǎn)生四種顯示模式 S0、 S S S3 的顏色圖像信號,其中用戶模式 S3 是調(diào)用 UserMode 模塊實現(xiàn)的。039。 end process。 類似行同步,列同步時序表如下: 表 22 VGA行 列 同步時序表 數(shù)字電路綜合 實驗行同步區(qū)定義在 656~ 752像素之間。 VGASig模塊的描述 該模塊 主要 負責 VGA 標準中的行同步信號和列同步信號以及行列消隱信號。 process(clk25m) Select color of one mode to VAG interface begin if(rising_edge(clk25m)) then case state is when s0 = colorstmp = colors0。 process(clk1hz) Detecting sw1 and sw0 and decide the state begin if(rising_edge(clk1hz)) then case sw is when 00 = state = s0。 數(shù)字電路綜合 實驗 vgasig_port_map: vgasig port map(clk25m = clk25m, ht = ht, 數(shù)字電路綜合 實驗 end ponent。 button: in std_logic_vector(4 downto 0)。 end ponent。 ponent pixelt Pixel counter port (clk25m: in std_logic。 bt0,bt1,bt2,bt3,bt4: in std_logic。 由于進行了細致的模塊劃分,模塊的間的耦合程度降到了很低,這就使得電路設計的可靠性大為增強,只要模塊的輸出滿足了功能定義,整個系統(tǒng)就能正常工作,即便個別模塊出現(xiàn)問題,也不會過多影響到其他模塊。當無鍵按下或多個鍵同時按下時,視為第五種狀態(tài), hmov和 vmov保持不變,色塊不運動。 子模式 US1 是反彈球運動。 數(shù)字電路綜合 實驗 數(shù)字電路綜合 實驗圖中沒有再標注轉(zhuǎn)移條件。當然,以上信號的傳遞是基于邏輯和系統(tǒng)設計的角度加以分析的,頂層設計實際是邏輯概念,并非有實實在在的電路,實際生成電路時信號是直接連線的傳遞的。模塊輸入輸出信號的耦合是在頂層模塊中進行描述的,頂層模塊 VGAController 在數(shù)字系統(tǒng)中屬于控制器模塊,其設計是數(shù)字系統(tǒng)設計的核心,故單獨敘述于 小節(jié)中,而其VHDL描述詳述于 。 C. 底層模塊: 考慮到用戶模式 S3 功能較多,仍需采用狀態(tài)機設計,故將其獨立出來,作為第三層的模塊加以封裝和實現(xiàn),實體定義為 UserMode。 數(shù)字電路綜合 實驗 b) Clock 作分頻,由 50MHz 的板級輸入得到系統(tǒng)所需的 25MHz、 100Hz 和 1Hz 時鐘信號。報告 第 6頁 作用為 集成子模塊功能,控制子模塊的連接和耦合信號。 時鐘 信號 模式檢測器 輸入寄存器 按鍵輸入 VGA 控制器 CRT 顯示器 模式碼 顏色信號 同步信號 CRT 顯示器 VGA 顯示控制器 用戶輸入接口 數(shù)字電路綜合 實驗 第四步:仿真驗證 經(jīng)過前三步之后,系統(tǒng)設計基本完成,需要對系統(tǒng)主要功能進行仿真驗證,更進一步分析狀態(tài)機、電路邏輯和時序配合等是否正確。 第二步:系統(tǒng)層次模塊劃分 由系統(tǒng)功能可以將系統(tǒng)從邏輯上劃分為輸入子系統(tǒng)、模式檢測子系統(tǒng)和 VGA 控制子系統(tǒng),詳述于 。 關(guān) 鍵 詞 : FPGA ; VHDL ; VGA 顯示控制;狀態(tài)機II 第一部 分 任務要求 .................................................................................................................. 1 .......................................................................................................................... 1 .......................................................................................................................... 1 第二部分 系統(tǒng)設計 .................................................................................................................. 2 .......................................................................................................................... 2 .................................................................................................................. 4 ........................................................................................................... 4 ................................................................................................... 4 ........................................................................................................... 5 ............................................................................................................... 8 ............................................................................................................... 8 ............................................................................................................. 10 ......................................................................................................... 10 ......................................................................................................... 11 ........................................................................................................ 14 ...................................................................................................... 14 ..................................................................................................... 14 III ..................................................................................................... 18 ................................................................................................................ 31 第三部分 結(jié)果與分析 ............................................................................................................ 35 ................................................................................................................ 35 ................................................................................................................ 36 優(yōu)化 ............................................................................................................. 38 .........
點擊復制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1