freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的數(shù)字時(shí)鐘論文(存儲(chǔ)版)

  

【正文】 end if。 小時(shí)計(jì)數(shù)器 實(shí)體名: fen24 功 能: 24 進(jìn)制計(jì)數(shù)器 接 口: clk 時(shí)鐘輸入 qout1個(gè)位 BCD 輸出 qout2十位 BCD 輸出 carry進(jìn)位信號(hào)輸出 library ieee。 carry : out std_logic )。 elsif clk39。039。 end if。 use 。 qin6 : in std_logic_vector(3 downto 0)。 sel=11111110。 case t is when 0=qout=qin1。 when 4=qout=qin4。 when others=qout=0000。 use 。 use 。 begin process(clk,rst) variable t:integer range 0 to counter_len。 else t:=t+1。 end process。 qout:out std_logic )。event and clk=39。139。 第 五 章 本次課程設(shè)計(jì)的心得體會(huì) 經(jīng)過(guò)努力 ,簡(jiǎn)易電子時(shí)鐘的 設(shè)計(jì)基本上完成了。 [3]《 電子學(xué)入門》科學(xué)出版社,【日】新電器編輯部。 參考文獻(xiàn) [1]《數(shù)字電路試驗(yàn)基礎(chǔ)》 同濟(jì)大學(xué)出版社 崔葛瑾主編。 小時(shí)計(jì)數(shù)器仿真 六個(gè)數(shù)碼管動(dòng)態(tài)掃描顯示時(shí)間的仿真驗(yàn)證。039。)then t:=0。 entity fen100 is port (clk:in std_logic。 end case。139。 end fen1。 end behave。 7 段譯碼顯示 實(shí)體名: decode47 功 能:實(shí)現(xiàn)數(shù)碼顯示管的編碼顯示 接 口: qin BCD 碼輸入 qout七段碼輸出 library ieee。 when 7=qout=qin6。 when 3=qout=qin3。 else t:=t+1。039。 qin4 : in std_logic_vector(3 downto 0)。 use 。 end if。139。)then tem1=0010。 qout1 : out std_logic_vector(3 downto 0)。 end process。 carry=39。 elsif clk39。 carry : out std_logic )。 第 三 章 數(shù)字 電子鐘的工作原理 系統(tǒng)設(shè)計(jì)方案 頂層原理圖 秒和分鐘計(jì)數(shù)器 功 能: 60 進(jìn)制計(jì)數(shù)器 接 口: clk 時(shí)鐘輸入 qout1個(gè)位 BCD 輸出 qout2十位 BCD 輸出 carry進(jìn)位信號(hào)輸出 library ieee。 3. 3 VHDL 的設(shè)計(jì)流程 (1) 設(shè)計(jì)輸入根據(jù)電路設(shè)計(jì)所提出的要求,將程序輸入到 VHDL 編輯器中去編輯。應(yīng)用 VHDL 進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的。 1993 年, IEEE 對(duì) VHDL 進(jìn)行了修訂,從更高的抽象層次和系統(tǒng)描述能力上擴(kuò)展 VHDL 的內(nèi)容,公布了新版本的 VHDL,即 IEEE標(biāo)準(zhǔn)的 10761993 版本,(簡(jiǎn)稱 93 版)。 硬件描述語(yǔ)言 HDL 是相對(duì)于一般的計(jì)算機(jī)軟件語(yǔ)言,如: C、 PASCAL 而言的。 EDA 技術(shù)是以計(jì)算機(jī)為工具,根據(jù)硬件描述語(yǔ)言 HDL( Hardware Description language)完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合及優(yōu)化、布局布線、仿真以及對(duì)于特定目標(biāo)芯片的適配編譯和編程下載等工作。 引言 數(shù)字鐘是一種用數(shù)字電路技術(shù)實(shí) 現(xiàn)時(shí)、分、秒計(jì)時(shí)的裝置,與機(jī)械式時(shí)鐘相比具有更高的準(zhǔn)確性和直觀性,且無(wú)機(jī)械裝置,具有更更長(zhǎng)的使用壽命,因此得到了廣泛的使用。 時(shí)鐘分頻模塊 ................................... 錯(cuò)誤 !未定義書簽。 The program design is a simple flower design。作為一個(gè)學(xué)電子信息專業(yè)的學(xué)生,我們必須不斷地了解更多的新產(chǎn)品信息,這就更加要求我們對(duì) EDA有個(gè)全面的認(rèn)識(shí)。 課程目標(biāo) ....................................... 錯(cuò)誤 !未定義書簽。 計(jì)時(shí)位選擇電路 ............................... 錯(cuò)誤 !未定義書簽。每次課程都配有相關(guān)實(shí)戰(zhàn)訓(xùn)練,每個(gè)實(shí)戰(zhàn)訓(xùn)練題目都可以在紅色颶風(fēng) II 代的 FPGA 硬件平
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1