freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字頻率計(jì)課程設(shè)計(jì)(存儲(chǔ)版)

2025-07-27 09:01上一頁面

下一頁面
  

【正文】 en 001= dataout=datain(19 downto 16)。經(jīng)譯碼器的處理輸出后數(shù)碼管顯示相應(yīng)的數(shù)值。 1 when 0010 = d_out=10100100。 9 when others =NULL。 clk0: in std_logic。end ponent。end ponent。 dataout: out std_logic_vector(3 downto 0)。 signal c1,c2,c3,c4,c5: std_logic。 u5:t10 port map(c4,clr,tsten,qout(19 downto 16),c5)??偟姆抡鏁r(shí)間設(shè)置1s,clkqq為40KHZ基頻信號(hào),設(shè)置周期為25us;clk0為被測(cè)信號(hào),周期任意(為了檢測(cè)設(shè)置周期為80us,從仿真圖中可以看出測(cè)得頻率為12500HZ,與設(shè)計(jì)的一樣)。調(diào)試程序過程是一個(gè)考驗(yàn)人耐心的過程,不能有絲毫的急躁,馬虎,特別是對(duì)仿真波形的調(diào)試要一步一步來,不能急躁,最重要的是要熟練地掌握課堂上的知識(shí),這樣才能對(duì)試驗(yàn)中出現(xiàn)的問題進(jìn)行分析解決。我的動(dòng)手能力又有了進(jìn)一步的提高,我感到十分的欣慰。特別是在編譯程序時(shí),一不小心就會(huì)犯錯(cuò),而且很不容易檢查出來。在實(shí)習(xí)的過程中發(fā)現(xiàn)了以前學(xué)的知識(shí)掌握的不牢。end art。 u3:t10 port map(c2,clr,tsten,qout(11 downto 8),c3)。 signal clk1,clk2,clk3: std_logic。數(shù)碼管選擇器ponent led 待調(diào)用的數(shù)碼管選擇器端口定義port(clk:in std_logic。 din: in std_logic_vector(23 downto 0)。 clk_div1 : out std_logic。use 。 7 when 1000 = d_out=10000000。 第8位d_out[7]為逗號(hào)architecture art of ymq isbegin process(d_in) begin case d_in is 第8位為1高電平逗號(hào)不顯示 when 0000 = d_out=11000000。編譯成功后生成元件圖如下: 在clk信號(hào)的控制下,數(shù)碼管進(jìn)行循環(huán)控制輸出。when 011= dataout=datain(11 downto 8)。 when 011 = =000100。 begin clk同掃描頻率clk循環(huán)變化 process(clk) begin if rising_edge(clk) then if clk 5 thenclk=clk+1。use 。139。use 。 end if。 等于9則計(jì)數(shù)器清零 end if。 then cqi=0000。use 。 鎖存器輸出與計(jì)數(shù)器使能信號(hào)反相 tsten=div2clk。039。event and clk=39。use 。 clk_div1 =q1。 掃描信號(hào) if t2=400 then t2:=1。architecture syn of lpm_counter0 is signal q1,q2 :std_logic。同時(shí)產(chǎn)生400HZ的信號(hào)來控制數(shù)碼管顯示。當(dāng)tsten為高電平1時(shí),允許計(jì)數(shù);為低電平0時(shí)停止計(jì)數(shù),并保持其計(jì)數(shù)結(jié)果。數(shù)字式頻率計(jì)的測(cè)量原理有兩類:一是直接測(cè)頻法,即在一定閘門時(shí)間內(nèi)測(cè)量被測(cè)信號(hào)的脈沖個(gè)數(shù);二是間接測(cè)頻法即測(cè)周期法,如周期測(cè)頻法。 設(shè)計(jì)原理 頻率計(jì)設(shè)計(jì)原理數(shù)字頻率計(jì)的基本原理是用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。目前許多高精度的數(shù)字頻率計(jì)都采用單片機(jī)加上外部的高速計(jì)數(shù)器來實(shí)現(xiàn),然而單片機(jī)的時(shí)鐘頻率不高導(dǎo)致測(cè)頻速度比較慢,并且在這種設(shè)計(jì)中,由于PCB版的集成度不高,導(dǎo)致PCB板走線長(zhǎng),因此難以提高計(jì)數(shù)器的工作頻率。技術(shù)性能指標(biāo)及分工1)能夠測(cè)量正弦波、三角波、鋸齒波、矩形波等周期性信號(hào)的頻率;2)能直接用十進(jìn)制數(shù)字顯示測(cè)得的頻率;3)頻率測(cè)量范圍:1HZ~999999HZ;4)測(cè)量時(shí)間:T=;5)用CPLD/FPGA可編程邏輯器件實(shí)現(xiàn);進(jìn)度安排:2011/06/27 集體針對(duì)設(shè)計(jì)進(jìn)行分析、討論,確定好分工,明確設(shè)計(jì)進(jìn)度,以及對(duì)設(shè)計(jì) 總體上有個(gè)了解。關(guān)鍵詞:FPGA芯片、VHDL語言、數(shù)字頻率計(jì)、數(shù)字頻率計(jì)原理圖、EDA技術(shù)AbstractDigital cymometer is to directly show to be measured a kind of diagraph of signal frequency to equip with the decimal system not only can measure sine wave, square wave, triangle wave, sharp pulse signal and other have a period of the frequency of the signal of characteristic, and can also measure their been refitted, can measure pulse width, make into the number type vein breadth to measure an instrument。經(jīng)過改裝,可以測(cè)量脈沖寬度,做成數(shù)字式脈寬測(cè)量?jī)x;可以測(cè)量電容做成數(shù)字式電容測(cè)量?jī)x;在電路中增加傳感器,還可以做成數(shù)字脈搏儀、計(jì)價(jià)器等。具有體積小、可靠性高、功耗低的特點(diǎn)。s he various physical quantity carry on the physical volume small and dependable sex Gao and achievement to consume a low cymometer is the diagraph instrument of research production realm indispensabilities, such as calculator, munication equipments and audio frequency video frequency...etc..The digital cymometer that adopts VDHL to weave a distance to design a realization accounts, in addition to is
點(diǎn)擊復(fù)制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1