freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)論文-基于fpga的電梯控制器設(shè)計(jì)(存儲(chǔ)版)

2025-07-16 10:53上一頁面

下一頁面
  

【正文】 6 C7B0B1B2B3B4B5B6B7M C L R1R A 02R A 13R A 24R A 35R A 46R A 57R E 08R E 210VDD11V s s12O S C 113O S C 214RC015RC116RC217RC318R D 019R D 120RB740RB639RB538RB437RB336RB235RB134RB033VDD32V s s31R D 730R D 629R D 528R D 427RC726RC625RC524RC423R D 322R D 221R E 19P I C 1 6F 8 7 7A1P I C 1 6F 8 7 7V C CA0A1A2A3A4A5C0C1C2C3 C4C5C6C7D0D1 D2D3D4D5D6D7B0B1B2B3B4B5B6B7V C C 圖 2 電梯外硬件電路 電梯硬件控制模塊 — 內(nèi)控制模塊 電梯內(nèi)控制模塊是負(fù)責(zé)收集電梯內(nèi)部的人員按鍵和控制相應(yīng) LED 燈亮滅的模塊。 5)響應(yīng)分控制器的有效請(qǐng)求,如果到達(dá)有請(qǐng)求的樓層,電梯自動(dòng)開門。 電梯外人的上升請(qǐng)求信號(hào) down2,down3,down4,down5,down6,down7,down8,down9,down10 : in std_logic。 電梯內(nèi)請(qǐng)求信號(hào)顯示 基于 FPGA 的電梯控制器設(shè)計(jì) 第 17 頁 共 31 頁 warning : out std_logic。 signal q1:integer range 0 to 6。139。039。overweight_warning=39。q2=0。039。updown=39。 end if。 elsif quick=39。 elsif q1=3 then door=01。 then led_c_f=1001111。139。039。039。139。039。 downo2=39。 有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) elsif dd_cc0000000011 then en_up=39。 電梯到達(dá) 3 樓,數(shù)碼管顯示 3 if updown=39。 upo3=39。 elsif dd_cc0000000100 then en_dw=39。039。139。 elsif gate4=39。039。139。 elsif ina4=39。 opendoor=39。 opendoor=39。 then if ina5=39。opendoor=39。 opendoor=39。139。039。 then led_c_f=0000010。139。039。 or downo6=39。 elsif dd_cc0000100000 then en_dw=39。 end if。 or upo7=39。 elsif dd_cc0001111111 then en_up=39。 end if。139。139。039。139。139。 then ina8=39。 opendoor=39。039。 then ina9=39。 opendoor=39。039。139。039。039。139。en_dw=39。 清除超載報(bào)警 if a1=39。 then ina3=a3。039。 elsif a9=39。039。 elsif up4=39。 then upo6=up6。039。 then downo3=down3。039。 基于 FPGA 的電梯控制器設(shè)計(jì) 第 23 頁 共 31 頁 elsif down9=39。ina9amp。ina1。upo5amp。downo7amp。 dd_cc=dd or cc_u or cc_d。 end oo。 end 。 clk1=c0。 本設(shè)計(jì)采用的正式 FPGA 來控制電梯的邏輯運(yùn)行,具有編程靈活,性能可靠等優(yōu)點(diǎn),而且 FPGA 在去電后配置數(shù)據(jù)自動(dòng)消失,用戶可以 控制加載進(jìn)程,在現(xiàn)場(chǎng)修改器件的邏輯功能。我要在這里對(duì)他們表示深深的謝意! 感謝我的指導(dǎo)老師 —— 老師,李老師學(xué)識(shí)淵博,為人隨和,對(duì)于課題的進(jìn)展給予了悉心的指導(dǎo),李老師給予我們的不僅是單純的知識(shí)更重要的是學(xué)習(xí)的方法,在完成課題的過程 中我不僅學(xué)到了知識(shí),更重要的是增強(qiáng)了自主學(xué)習(xí)的能力,這將讓我終身受益沒有您的悉心指導(dǎo)就沒有這篇論文的順利完成。用 VHDL 硬件描述語言的形式進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用 EDA 軟件進(jìn)行編譯優(yōu)化仿真極大地減少了電路設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤。 end if。 entity fenping is port(clk50 : in std_logic。 end if。39。downo9amp。upo7amp。ina3amp。 end if。039。 then downo5=down5。 elsif down3=39。 then upo8=up8。 elsif up6=39。039。 end if。039。 then ina5=a5。 elsif a3=39。overweight_warning=39。 else en_up=39。139。039。139。139。 end if。 elsif dd_cc0111111111 then en_up=39。 or upo9=39。 end if。 elsif dd_cc0010000000 then en_dw=39。 or downo8=39。039。139。 then led_c_f=0000000。039。139。 opendoor=39。 opendoor=39。 then if ina7=39。 opendoor=39。 opendoor=39。 elsif ina6=39。139。039。 elsif gate6=39。139。039。 elsif dd_cc0000010000 then en_dw=39。 upo5=39。 電梯到達(dá) 5 樓,數(shù)碼管 顯示 5 if updown=39。 基于 FPGA 的電梯控制器設(shè)計(jì) 第 20 頁 共 31 頁 elsif dd_cc0000001111 then en_up=39。 downo4=39。039。139。039。039。139。039。 opendoor=39。 then ina3=39。039。 opendoor=39。 then ina2=39。139。139。039。039。139。 if gate1=39。down=39。q1=0。 電梯進(jìn)入關(guān)門狀態(tài) else q1=q1+1。 then q1=3。139。 then door=10。 end if。039。 預(yù)上升、預(yù)下降使能信號(hào) begin :process(clk) begin if clk39。 電梯外人上升請(qǐng)求信號(hào)寄存信號(hào) signal downo2,downo3,downo4,downo5,downo6,downo7,downo8,downo9,downo10:std_logic。 電 梯外人上升請(qǐng)求信號(hào)顯示 led_o_d:out std_logic_vector(9 downto 0)。 時(shí)鐘信號(hào) overweight,close,quick,clr : in std_logic。 3)當(dāng)電梯到達(dá)選擇的樓層時(shí),電梯自動(dòng)開門。當(dāng)燈熄滅的時(shí)候就表示電梯已經(jīng)執(zhí)行了相應(yīng)的操作了。本電梯控制系統(tǒng)也需要稱重傳感器來判斷電梯廂是否超重,另外本系統(tǒng)要求確切的測(cè)出電梯廂的重量,控制系統(tǒng)根據(jù)電梯廂的重量調(diào)節(jié)電機(jī)的輸出功率,電梯廂重量大時(shí),控制電機(jī)輸出功率大,電梯廂輕時(shí)控制電機(jī)的輸出功率小,從而實(shí)現(xiàn)節(jié)能的目的。 電機(jī)驅(qū)動(dòng)選擇 方案一:傳統(tǒng)的電機(jī)驅(qū)動(dòng)方式,使用常規(guī)的三相交流電直接驅(qū)動(dòng)電機(jī),控制核心通過控制繼電器來控制電機(jī)的轉(zhuǎn)動(dòng)方向,從而控制電梯的運(yùn)動(dòng)方向。而且液晶顯示耗電小,缺點(diǎn)是成本高、顯示亮度不如數(shù)碼管。當(dāng)樓層很多時(shí),離控制 器遠(yuǎn)的金屬開關(guān)到控制器的線很長,信號(hào)容易收到干擾,而且整個(gè)系統(tǒng)很復(fù)雜成本高。當(dāng)電梯處于下降模式時(shí),情況正好相反。當(dāng)配重質(zhì)量 電梯廂質(zhì)量,控制系統(tǒng)只需要施加少量的反向力矩,使 電梯廂勻速。 (四)可操作性 由于 VHDL 具有類屬描述語句和子程序調(diào)用等功能,對(duì)于已完成的設(shè)計(jì), 在不改變?cè)闯绦虻臈l件下,只需改變端口類屬參量或函數(shù),就能輕易地改變?cè)O(shè)計(jì)的規(guī)模和結(jié)構(gòu)。并且具有多層次的設(shè)計(jì)描述功能,支持設(shè)計(jì)庫和可重復(fù)使用的元件生成。此后,硬件描述語言向標(biāo)準(zhǔn)化方向發(fā)展, 1987 年成為 IEEE Standard 1076,稱為 VHDL 語言。本篇介紹 VHDL 的語法基礎(chǔ)、用 VHDL 進(jìn)行系統(tǒng)設(shè)計(jì)的基本方法、以及 VHDL 的設(shè)計(jì)實(shí)例等。用戶可以根據(jù)不同的配置模式,采用不同的編程方式。 工作原理 FPGA 采用了邏輯單元陣列 LCA( Logic Cell Array)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊 CLB( Configurable Logic Block)、輸出輸入模塊 IOB( Input Output Block)和內(nèi)部連線( Interconnect)三個(gè)部分。在電路描述時(shí)主要采用硬件描述語言( HDL)。 目前國內(nèi)七八十年代安裝的許多電梯電氣部分用繼電器接觸器控制系統(tǒng),線路復(fù)雜,接線多,故障率高,維修保養(yǎng)難,許多已處于閑置狀態(tài),其拽引系統(tǒng)多采用交流雙速電機(jī)系統(tǒng)換速,效率低,調(diào)速性能指標(biāo)較差,嚴(yán)重影響電梯運(yùn)行質(zhì)量。該控制器遵循方向優(yōu)先的原則,提供 6 個(gè)樓層多用戶的載客服務(wù)并指示電梯的運(yùn)行情況。本設(shè)計(jì)介紹了基于 VHDL 語言設(shè)計(jì)的電梯控制 器,并進(jìn)行了電路綜合和仿真。而 FPGA/CPLD 控制系統(tǒng)由于運(yùn)行可靠性高,使用維修方便,抗干擾性強(qiáng) ,設(shè)計(jì)和調(diào)試周期較短等優(yōu)點(diǎn),倍受人們重視等優(yōu)點(diǎn),已成為目前在電梯控制系統(tǒng)中使用最多的控制方式, 目前也廣泛用于傳統(tǒng)繼電器控制系統(tǒng)的技術(shù)改造。在利用 EDA 進(jìn)行集成電路設(shè)計(jì)時(shí),應(yīng)采用高效率的 TOPDOWN 設(shè)計(jì)方法,即根據(jù)系統(tǒng)的行為和功能要求,自上而下地依次完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗(yàn)證 ,直到生成器件。它是作為專用集成電路( ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。 FPGA 是由存放在片內(nèi) RAM 中的程序來設(shè)置其工作狀態(tài)的,因此,工作時(shí)需要對(duì)片內(nèi)的 RAM 進(jìn)行編程。 基于 FPGA 的電梯控制器設(shè)計(jì) 第 8 頁 共 31 頁 語言 VHDL 語言的發(fā)展 VHDL ( Very High Speed Integrated Circuit Hardware Description Language)是 IEEE( Institute of Electrical and Electronics Engineers)標(biāo)準(zhǔn)的硬件描述語言,是現(xiàn)代電子系統(tǒng)設(shè)計(jì)的首選硬件設(shè)計(jì)計(jì)算機(jī)語言。 20 世紀(jì) 80 年代美國國防部開發(fā) Very High Speed Integrated Circuit—VHSIC,用于描述集成電路的結(jié)構(gòu)和功能。它可以用明確的代碼描述復(fù)雜的控制邏輯設(shè)計(jì)。程序設(shè)計(jì)的硬件目標(biāo)器件有廣闊的選擇范圍,可以是各系列的 CPLD、 FPGA 及各種門陣列器件。當(dāng)配重質(zhì)量 電梯廂質(zhì)量(包括乘客質(zhì)量),則控制系統(tǒng)只需要提供很小的能量來吊起電梯廂。 內(nèi)部請(qǐng)求優(yōu)先控制方式 當(dāng)電梯處于上升模式時(shí),電梯先響應(yīng)電梯內(nèi)乘客向上的請(qǐng)求,響應(yīng)完所有的上升的請(qǐng)求之后再將電梯的模式改為下降模式,接著響應(yīng)乘客下降的請(qǐng)求。 綜合上述:方案一要在每一個(gè)樓層都安裝一個(gè)金屬接近開關(guān),而且每個(gè)金屬開關(guān)都要用線接到電梯控制器。 方案二:使用液晶顯示屏,液晶顯示屏顯示的內(nèi)容多,能顯示數(shù)字、英文字母,有些液晶還能顯示漢字、圖像。所 以本系統(tǒng)選擇方案二。 稱重傳感器 電 梯一般都有一個(gè)傳感器用于判斷電梯廂是否超重,如果超重就發(fā)出報(bào),基于 FPGA 的電梯控制器設(shè)計(jì) 第 13 頁 共 31 頁 并且電梯停止運(yùn)行。而每個(gè)按鍵按下時(shí)會(huì)點(diǎn)亮亮對(duì)應(yīng)的 LED 燈,當(dāng)電梯到達(dá)該樓層時(shí)就熄滅該樓層對(duì)應(yīng)運(yùn)動(dòng)方向的 LED 燈。 2)電梯運(yùn)行時(shí)顯示電梯的運(yùn)行方向和所在的樓層。 entity tenlift is port ( clk : in std_logic。 電梯所在樓層顯示 led_o_u:out std_logic_vector(9 downto 0)。 電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào) signal upo1,upo2,upo3,upo4,upo5,upo6,upo7,upo8,upo9:std_logic。 電梯運(yùn)動(dòng)方向信號(hào)寄存器 signal en_up,en_dw:std_logic。warni
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1