freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

通信原理課程設(shè)計(jì)-基于vhdl硬件程序語(yǔ)言的hdb3的編譯碼-免費(fèi)閱讀

  

【正文】 d2:M1 port map(reset,clk,d)。 Y: OUT STD_LOGIC)。 doutb: out std_logic_vector(1 downto 0))。 end if。039。 process(clk)is begin if(clk=39。039。D0(0)=D0(1)。 process(clk,datain) is begin if(clk=39。 begin t=datain。 use 。 dout: out std_logic_vector(1 downto 0))。 dout: out std_logic_vector(1 downto 0))。 end。 else even=39。 then dout=11。 then even=39。 use 。 else dout=D1(0)amp。 elsif(clk=39。139。 if(D1(0)=39。 and clk39。 end if。 signal flag,even:integer range 0 to 1。 加 b 模塊 library ieee。 else dout=00。 elsif(clk=39。 entity hdb3a is port(reset,clk,datain: in std_logic。 END IF。039。覺(jué)得這兩點(diǎn)收獲還是很重要的,盡管不是很多。當(dāng)自己將程序完完全全的編出來(lái)的時(shí)候那種成功的喜悅心情真的是無(wú)法描述。 AND CLK39。因此我又編了一個(gè)小 m序列發(fā)生器(五級(jí))。)) then dout=39。event) then if((D1(0)=39。D1(0)=D1(1)。D0(3)=39。event)then if ((t=11 and D1(3 downto 0)=0001 and D0(3 downto 0)=0001)or(t=01 and D1(3 downto 0)=0000 and D0(3 downto 0)=0001))then D1(3)=39。 and clk39。 end if。 elsif(datain=01 or datain=10) then if even=39。 elsif(clk=39。 end if。event) then if(flag=0 and even=0 and (D1(3)=39。 end if。139。 and D0(3)=39。039。 and clk39。 else dout=01。 and clk39。 代碼輸入 譯碼很簡(jiǎn)單這里就不詳細(xì)敘述了。 HDB3 碼還是 CCITT 推薦使用的碼型之一。通過(guò)軟件仿真,我們可以事先驗(yàn)證設(shè)計(jì)的正確性。這樣的 FPGA 實(shí)際上就是一個(gè)子系統(tǒng)部件。經(jīng)過(guò)了十幾年的發(fā)展,許多公司都開發(fā)出了多種可編程邏輯器件。在 PCB完成以后,還可以利用 FPGA 的在線修改能力,隨時(shí)修改設(shè)計(jì)而不必改動(dòng)硬件電路。 第三節(jié) HDB3 的編碼原理簡(jiǎn)介 HDB3碼的編碼原理 : HDB3 碼的全稱是三階高密度雙極性碼,編碼原理:首先檢查消息代碼的連 0串的情況,當(dāng)沒(méi)有 4個(gè)或 4 個(gè)以上連 0串時(shí),則這時(shí)按照 AMI 碼的編碼規(guī)則對(duì)消息代碼進(jìn)行編碼;當(dāng)出現(xiàn) 4 個(gè)或 4個(gè)以上連 0 串時(shí),則將每 4個(gè)連 0小段的第四個(gè) 0 變換成與其前一非 0 符號(hào)同極性的符號(hào)。 第二節(jié) HDB3 的程序及仿真時(shí)序圖 一、 HDB3 的程序 編碼: 插“ V”模塊的設(shè)計(jì)思想 插“ V”模塊的功能實(shí)際上是對(duì)消息代碼里的四連 0串進(jìn)行檢測(cè),當(dāng)出現(xiàn)四個(gè)連 0串時(shí),把第四個(gè)“ 0”變換成符號(hào)“ V”,在其他情況下,則保持消息代碼的原樣輸出。event) then if datain=39。 1 碼 counter=0。event)then D1(3)=datain(1)。 then Start Dff[0]=1 Dff[3]=1 Flag=1 Flag=0 Even=even+1 Dff[0]=1 Even=0 Yes no yes no yes 通信原理課程設(shè)計(jì)(河海大學(xué)) 通信工程專業(yè) 8 flag=0。139。)then even=even+1。 end process。139。 end process。139。139。 else dout=00。event)then D1(2 downto 1)=D1(3 downto 2)。039。039。D0(0)=D0(1)。139。139。 公式是: x5 +x2+1 核心代碼如下: SIGNAL S1,S2: STD_LOGIC_VECTOR(4 DOWNTO 0)。EVENT) THEN S1=(S2(0) XOR S2(3))amp。感覺(jué)很有成就感。 通信原理課程設(shè)計(jì)(河海大學(xué)) 通信工程專業(yè) 16 附錄 A:參考書目 一、 第五版通信原理課本 二、 河海大學(xué)通信原理課程設(shè)計(jì)的電子文檔 三、 網(wǎng)上的相關(guān)資料 附錄 B:程序清單 m 序列: 五級(jí) m序列發(fā)生器 LIBRARY IEEE。 THEN S1(0)=39。 S2=S1。 dout: out std_logic_vector(1 downto 0))。139。 沒(méi)連 4個(gè) 0 end if。 use 。 begin process(clk,datain)is begin if(clk=39。 end process。event)then if (D1(3)=39。039。)then even=0。139。D0(0)。 entity hdb3c is port(reset,clk: in std_logic。039。 負(fù)電平 1 else dout=01。139。 總的編碼模塊: library ieee。 end ponent。 end ponent。 use 。 process(clk,datain)is begin if(clk=39。139。 elsif((t=11 and D1(3 downto 1)=001 and D0(3 downto 1)=001)or(t=01 and D1(3 downto 1)=000 and D0(3 downto 1)=001))then D1(3)=39。 else D1(3)=t(1)。139。 and D0(0)=39。 end process。 end。 通信原理課程設(shè)計(jì)(河海大學(xué))
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1