【正文】
所以本次實驗圓滿成功。在界面的左下角雙擊【 Generate Programing File】。NET SEG3 LOC = C12。NET DP LOC = C11。 WAIT FOR 100 NS。039。USE 。 END CASE。 WHEN 0011=SEG=0110000。 WHEN 011=DATA=DATAIN(15 DOWNTO 12)。 OVERIN : IN STD_LOGIC。 END PROCESS。 END IF。 IF DIN(23 DOWNTO 20)=0000 AND DIN(19 DOWNTO 16)=0000 THEN QOU(23 DOWNTO 20)=1111。 END IF。 QOU(19 DOWNTO 16)=1111。 IF DP1=39。 THEN IF DIN(23 DOWNTO 20)=0000 THEN QOU(23 DOWNTO 20)=1111。 DP2: IN STD_LOGIC。 END PROCESS。architecture Behavioral of CTRLS isSIGNAL CNT: STD_LOGIC_VECTOR(2 DOWNTO 0):=000。end Behavioral。 AND SE100=39。 AND SE100=39。 AND SE100=39。 SE100 : in STD_LOGIC。譯碼顯示:該模塊實現(xiàn)的是對鎖存器鎖存的數(shù)據(jù)進行處理并顯示輸出,以及小數(shù)點的不同閘門的輸出顯示,以及電路板上七段顯示譯碼管的掃描信號輸出。architecture Behavioral of ADVOCATES isbeginS6(23 DOWNTO 20)=S0。 entity ADVOCATES is Port ( S0 : in STD_LOGIC_VECTOR (3 downto 0)。 THEN 當時鐘信號下降沿時,實現(xiàn)鎖存 QOU=DIN。use 。039。use 。 WAIT FOR 100 NS。 SIGNAL CQ : std_logic_vector(3 downto 0)。 CO : OUT std_logic)。USE 。139。 THEN 判斷使能信號,有效則進行計數(shù),否則不作處理 IF CQI=1001 THEN CQI=0000。 定義中間信號CQI,用于數(shù)據(jù)輸出的循環(huán)計數(shù)beginPROCESS(CLK,CLR) IS BEGIN IF CLR=39。use 。END。BEGIN uut: CONTROLS PORT MAP( FREF = FREF, GAT = GAT, CLR = CLR)。ARCHITECTURE behavior OF TBCON_vhd IS COMPONENT CONTROLS PORT(FREF : IN std_logic。 END PROCESS。039。039。use 。FREF=39。BEGIN uut: SELE PORT MAP( SE1 = SE1, SE10 = SE10, SE100 = SE100, F1HZ = F1HZ, F10HZ = F10HZ, F100HZ = F100HZ, FREF = FREF, DP1 = DP1, DP2 = DP2, DP3 = DP3)。 SIGNAL F10HZ : std_logic := 39。 SIGNAL SE1 : std_logic := 39。 F1HZ : IN std_logic。源代碼編寫完成后保存并生成圖形文件符號如圖:仿真文件編寫如下:LIBRARY ieee。139。 IF SE1=39。 THEN FREF=F10HZ。139。 AND SE100=39。 DP1 : out STD_LOGIC。use 。 wait for 10 ps。 SIGNAL clkout100 : std_logic。 clkout10 : OUT std_logic。end Behavioral。 t1K=1。 end if。 end if。end process。beginprocess(clk)is begin if clk39。 architecture Behavioral of fenpinqi issignal t1:integer range 1 to 24000000。use 。 系統(tǒng)單元模塊劃分:1)分頻器,將產(chǎn)生用于計數(shù)控制的時鐘分別為1HZ,10HZ,100HZ脈沖和1KHZ的用于七段顯示數(shù)碼管掃描顯示的掃描信號。由一個高穩(wěn)定的石英振蕩器和一系列數(shù)字分頻器組成了時基信號發(fā)生器,它輸出時間基準(或頻率基準)信號③去控制門控電路形成門控信號④,門控信號的作用時間T是非常準確的(由石英振蕩器決定)。 b、采用記憶顯示方法 c、實現(xiàn)對高位無意義零的消隱。如果計數(shù)式頻率計的顯示器單位為“KHz”,即小數(shù)點定位在第三位。6)譯碼顯示,用于產(chǎn)生使七段顯示數(shù)碼管的掃描數(shù)字顯示,小數(shù)點顯示的輸出信號,同時對高位的無意義零進行消隱。 clkout100 : out STD_LOGIC。signal c2:std_logic。 由于48MHZ的的信號,前一半的時候c1為0,則后一半是為1,就完成了對信號進行分頻,產(chǎn)生了1HZ的信號 t1=1。 elsif t10=2400000 then c2=not c2。 then 方法同上 if t100240000 then t100=t100+1。139。clkout10=c2。ENTITY tbb_vhd ISEND tbb_vhd。039。 wait for 10 ps。其原程序和分析如下: library IEEE。 F10HZ : IN STD_LOGIC。139。 DP2=39。139。 DP3=39。139。 END IF。ARCHITECTURE behavior OF TTB_vhd IS COMPONENT SELE PORT(SE1 : IN std_logic。 DP2 : OUT std_logic。039。 SIGNAL DP1 : std_logic。 wait for 100 ns。仿真結(jié)果如圖:有仿真結(jié)果可知閘門選擇器工作正常,能夠準確輸出我們所需的信號。 CLR : out STD_LOGIC)。 該過程對時鐘信號又一次進行分頻,產(chǎn)生出半個周期時間為1的控制信號,作為計數(shù)使能,保證了時間的準確性 END IF。 該過程產(chǎn)生清零信號,即當使能信號為無效0同時時鐘為0時,即在技術(shù)始終無效半個時鐘時間后,對計數(shù)器清零 ELSE CLR=39。USE 。039。139。該模塊是使用六個十進制計數(shù)器同步并聯(lián)而成的,首先我們設(shè)計用于并聯(lián)的十進制計數(shù)器,原程序如下:library IEEE。 CO : out STD_LOGIC)。139。 END IF。 進位信號,最高位的僅為信號作為計數(shù)的溢出信號 CQ=CQI。 CLR : IN std_logic。039。 wait for 100 ns。鎖存器使用下降沿鎖存,即當計數(shù)器的使能信號變?yōu)闊o效的一瞬間我們令鎖存器將數(shù)據(jù)鎖存。end LATCH4。上述文件編寫完成后保存編譯生成圖形文件符號如圖:再編寫一位鎖存器,源程序代碼如下:library IEEE。architecture Behavioral o