freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga設(shè)計(jì)的功能仿真和時(shí)序仿真-免費(fèi)閱讀

2025-07-05 08:13 上一頁面

下一頁面
  

【正文】                                        圖4 Xilinx Libraries Aide 對話框   這種方法是通過在Xilinx 腳本進(jìn)行的,選擇菜單中的執(zhí)行宏或者在命令行中輸入source ,會彈出圖4 所示的對話框,在選擇所要編譯的語言后,Xilinx Software Version、編譯的庫、Xilinx 路徑和Modelsim 路徑后就可以進(jìn)行庫的編譯了。 用這個測試文件產(chǎn)生的仿真波形如圖3 所示:                                       圖3 仿真波形 這種方法可以仿真大量的數(shù)據(jù),對程序進(jìn)行比較全面的仿真。 signal CE : std_logic := ’0’。 D2 : in std_logic_vector(7 downto 0)。 (2)宏文件法   這種方法相當(dāng)于DOS 的批處理。第二種方法是用命令行的形式,建立庫用ModelSimvlib庫名,映射庫用ModelSimvmap , 如建立并映射庫work,就可以在ModelSim 主窗口命令提示符下輸入 vlib work vmap work work                                        圖1 建立新庫的對話框 (2)編譯源代碼   該步驟主要檢查源文件的語法錯誤。ModelSim 有很多版本,像ModelSim/SE 是首要版本, 除此之外還有ModelSim/XE 和ModelSim/AE,分別是為Xilinx公司和Altera 公司提供的OEM 版,其中已包含各公司的庫文件,故用特定公司OEM 版進(jìn)行仿真時(shí)就不需編譯該公司的庫了。   關(guān)鍵詞:FPGA;ModelSim;功能仿真;時(shí)序仿真;庫編譯 引言   FPGA 設(shè)計(jì)流程包括設(shè)計(jì)輸入,仿真,綜合,生成,板級驗(yàn)證等很多階段。   ModelSim 是Mentor Graphics 子公司MentorTechnology 的產(chǎn)品,是當(dāng)今最通用的FPGA 仿真器之一。有兩種方法建立并映射庫,第一種方
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1