freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字系統(tǒng)設(shè)計三層電梯控制器-預(yù)覽頁

2024-12-19 21:56 上一頁面

下一頁面
 

【正文】 VHDL 具有與具體硬件電路無關(guān)和設(shè)計平臺無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,并在語言易讀性和層次化結(jié)構(gòu)化設(shè)計方面,表現(xiàn)了強大的生命力和應(yīng)用潛力。源程序經(jīng) XXXX 公司的 XXXX 軟件仿真。 電梯系統(tǒng)作為建筑樓宇自動化系統(tǒng)的重要組成部分,也要求向滿足大廈中大量人流、物流的垂直輸送需要。 1987年 12月, IEEE推出了 IEEE Std10761987. VHDL語言成為 IEE〔標(biāo)準(zhǔn)以后,很快在世界各地得到廣泛應(yīng)用。并于同年 9月被 IEEE認(rèn)可為標(biāo)準(zhǔn),即 IEEE Std 重要的一種描述和驗證硬件的標(biāo)準(zhǔn)被廣泛接受,并逐步取代了原有的非標(biāo)準(zhǔn)硬件描述語言。 VHDL是一個在程序設(shè)計語言的意義上全類型化的語 7 言,即所有硬件部件和元件的描述必須給出明確的類型。 VHDL語言的最大特點是描述能力極強,覆蓋了邏輯設(shè)計的諸多領(lǐng)域和層 次,并支持眾多的硬件模型。既支持模塊化設(shè)計,也支持層次化設(shè)計 。 ,也支持慣性延遲,可以更準(zhǔn)確地建立復(fù)雜 的電路硬件模型 。 8. VHDL的類屬提供了向設(shè)計實體傳送環(huán)境信息的能力 。在機械、電子、通信、空航天、化 工 .礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個領(lǐng)域, EDA技術(shù)都得到廣泛應(yīng)用。為了與臺灣和美國的設(shè)計 工 程師形成更有力的競爭,中國的設(shè)計隊伍迫切需要應(yīng)用最新的 EDA」具產(chǎn)品,參與和完成大規(guī)模,深層次的研發(fā) 工 作。它是以高性能的計算機為工作平臺,綜合了計算機圖形學(xué)、邏輯拓?fù)鋵W(xué)、計算數(shù)學(xué)、人工智能、電子線路和微電子技術(shù)等許多學(xué)科領(lǐng)域的最新成就和發(fā)展而形成的一整套軟件工具,用來幫助工程技術(shù)人員從事電子系統(tǒng)、電子線路和電子元器件設(shè)計的一門范圍涉及到有關(guān)電子學(xué)各個領(lǐng)域 9 的綜合性邊緣技術(shù)。 (3)所有不同層次的設(shè)計、仿真、測試和接口工具都集中在統(tǒng)一的開放環(huán)境之中,它們都有統(tǒng)一的原始模型、統(tǒng)一的數(shù)據(jù)格式與數(shù)據(jù)庫管理直至統(tǒng)一的人機界面,使得不同專業(yè)、不同廠家的工程設(shè)計人員的勞動成果,可以在各個層次上相互調(diào)用 . (4)所有 的設(shè)計結(jié)果都以符合 IEEE 1076標(biāo)準(zhǔn)的 VHDL語言存檔,使成果的存貯、共享、轉(zhuǎn)化、改進都得以方便地進行。這時設(shè)計者可以根據(jù)自己的需要,利用與物理實現(xiàn)的接口工,選擇多種不同的實現(xiàn)方法 .軟件實現(xiàn)如直接生成 DSP芯片的匯編代、 C語言代碼,或以 PCB , ASIC或FPGAIEPLD等硬件的方法來實具碼現(xiàn)目前世界上主要的 EDA廠商有 Cadence Design System Inc. ,Mentor Graphics Inc., SynopsysInc, DazixIntergraph Inc等 . 第 3 章 電梯控制器的具體設(shè)計 電梯控制器是控制電梯按顧客的要求自動上下的裝置。 ( 4)電梯到達(dá)停站請求的樓層后,經(jīng)過 1s 電梯門打開,開門指示燈亮,開門4s 后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)運行,直至執(zhí)行完最后一個請求信號后停在當(dāng)前層。 ( 7)電梯初始狀態(tài)為一層開門。分控制器把有效的請求傳給主控制器進行處理,同時顯示電梯的運行狀態(tài)和電梯所在的樓層數(shù)。 //分控制器上升、下降請求 qout : out std_logic_vector(3 down to 0); //所到達(dá)的樓層 lamp : out std_logic_vector(1 down to 0)。如果乘客進入電梯后所選的樓層大于所在的樓層,而且電梯處于上升或者是 ladd 為“ 01”的等待狀態(tài),則給上升請求寄存器 urr 相應(yīng)的為置位。寄存器 urr 和 drr 的各位相或后得到 ur 和 dr 信號作為狀態(tài)變化的條件信號。Switch 為“ 1”時, time 為“ 101”而且使能信號 let 有效時,進行狀態(tài)確定。電梯在 time 信號跳變到“ 110”時關(guān)門,此時設(shè)置使能信號 let 為“ 1”,當(dāng) time 信號跳變到“ 010”時,如果電梯狀 態(tài)信號 ladd 為“ 11”,而且使能信號 let 有效時,電梯層數(shù)指示器就增加,如果電梯達(dá)到了所選擇的樓層,則使能信號復(fù)位。分控制器下降請求的原理類似。主要的設(shè)計思想是將電梯的狀態(tài)劃分為四個:一層狀態(tài)( c1),二層狀態(tài) (c2) ,三層狀態(tài) (c3) ,開門狀態(tài) ( kai )。 //down2,down3:是二層、三層的下降請求 entity veryhardd is //k1,k2,k3:是在一層、二層、三層停電梯的請求 port(up1,up2,clk,down2,down3,k1,k2,k3:instd_logic。 //a1,a2,a3:顯示在哪一層有停電梯請求 end veryhardd。 variable t1,t2:integer。 if up2=’0’then up1(2):=’1’。 if diwn2=’0’then down1(2):=’1’。 if k2=’0’then ting1(2):=’1’。 if t11000then t1:t1+1。 //任何請求都會破壞初態(tài) end if。 //轉(zhuǎn)入開門狀態(tài) ting1(1):=’0’。 x:=’1’。 19 when c2=ceng1:=”010”。 elsif down1(3)=’1’or ting1(3)=’1’or x=’1’then state:=c3。 else if ting1(2)=’1’or down1(2)=’1’then state:=kai。 y:=’0’。 when c3=mo:=’1’ ceng1。 down1(3):=’0’。 elsif up1(2)=’1’then state:=c2。 if t23 then t2:t2+1。 elsif ceng1=”010”then state:=c2。 end case。 site=ceng1。 mode=mo。模塊 SEG 的功能是將樓層顯示的信號轉(zhuǎn)換成可以用數(shù)碼管顯示的信號。 clk:in std_logic。 22 when “010”=q=”1011011”。 end if。 該模塊的功能是將電梯工作模式利用 16X16 點陣顯示出來。 entity dian is port(clk,mode:in std_logic。 architecture dian_arc of dian is begin process(clk) variable seel:std_logic_vector(3 downto 0)。 when “0101”=q=”0011000000000000”。 when “1001”=q=”0110000000000000”。 when other=q=”0000000000000000”。 when “0101”=q=”0000000000001100”。 when “1001”=q=”0000000000000110”。 when other=q=”0000000000000000”。 sel=seel。在 XXXX 中仿真,仿真結(jié)果如圖 6 所示。電梯到達(dá) 3 樓后 urr 第 3 位復(fù)位為“ 0000”,電梯開門讓乘客出去。 用 VHDL 硬件描述語言的形式來進行數(shù)字系統(tǒng)的設(shè)計方便靈活,利用 EDA軟件進行編譯優(yōu)化仿真極大的減少了電路設(shè)計時間和可能發(fā)生的錯誤,降低了開發(fā)成本。但將各個功能模塊綜合在一起之后就需要理清它們的時序,才能夠共用數(shù)據(jù)總線,使其互不干擾地工作。這主要是由于各個功能實現(xiàn)時會有時延,這在仿真時是反映不出來的。在設(shè)計的過程中我深深的體會到, VHDL 語言實在是一個很好用的硬件
點擊復(fù)制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1