freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

vga圖像顯示控制器設(shè)計(jì)報(bào)告-全文預(yù)覽

  

【正文】 直接控制下一狀態(tài)和 Mealy 與 Moore 型狀態(tài)機(jī)的定義存在很大差別,其狀態(tài)轉(zhuǎn)移是強(qiáng)制的,且與當(dāng)前狀態(tài)無(wú)關(guān),而僅與輸入狀態(tài)相關(guān)。圖 (a)為頂層狀態(tài)機(jī)的仿真,此時(shí)直接引入枚舉類(lèi)型變量 state 進(jìn)行仿真。 圖 PixelCNT 模塊仿真圖(列計(jì)數(shù) vt 值) 數(shù)字電路綜合 實(shí)驗(yàn)如圖 和圖 。仿真環(huán)境是 QuartusII 。 end if。 hmov = hmovus1。 最后再利用選擇器對(duì)三種子模式的行列位置控制信號(hào)進(jìn)行選擇,完成模式的選擇,同時(shí)避免多驅(qū)動(dòng)問(wèn)題。 end if。 when 00010 = vmovus2 = vmovus2 1。報(bào)告 第 30頁(yè) process(clk100hz) user state:us2 begin if (rising_edge(clk100hz)) then if (userstate = us2) then if((hmovus2 610) or (vmovus2 450) or (hmovus2 30) or (vmovus2 30)) then hmovus2 = 0101000000。還有值得注意的是多余按鍵狀態(tài)的處理語(yǔ)句,這在狀態(tài)機(jī)的設(shè)計(jì)中是不可忽視的。 解決問(wèn)題的思路有兩種,一種是改變邊界檢測(cè)的的頻率和位置值改變的頻率,這一方法需要另外產(chǎn)生時(shí)鐘信號(hào),將涉及到其它模塊的改動(dòng),且不易把握兩種檢測(cè)的時(shí)序配合關(guān)系,故沒(méi)有采用;另一種可 在到達(dá)邊界處加入判斷,導(dǎo)致“突變”反彈,例如 hmovus1 到達(dá) 610,則不讓其增加,而是將 hmovus1 賦值為小于 610 的某個(gè)值,如600,該值偏移 610的程度表示了突變的快慢,值越大則在邊界突變反彈越明顯。因?yàn)檫壿嬌系淖兓療o(wú)延時(shí),但實(shí)際電路必然有延時(shí)。 end process。 end if。 need to jump away from border else 100 pixel per second hmovus1 = hmovus1 1。在此略去了上下邊界反彈的描述代碼。 end if。報(bào)告 第 27頁(yè) 兩個(gè)進(jìn)程分別負(fù)責(zé)左右、上下兩組邊界的判斷,置邊界標(biāo)志取反,用以控制反彈時(shí)的反向。 end if。 else vmovus0 = (others = 39。 end if。)。 vclk = 39。實(shí)現(xiàn)時(shí)使用行位置計(jì)數(shù)和列位置計(jì)數(shù),先行運(yùn)動(dòng)后列運(yùn)動(dòng),每秒移動(dòng) 100 個(gè)行像素點(diǎn)。 end if。 靜態(tài)方形色塊的描述如下。 要實(shí)現(xiàn)動(dòng)態(tài)圖像的顯示,第一步是繪制靜態(tài)的圖形:正方形色塊。 when us2 = userstate = us0。 end process。039。第一個(gè)進(jìn)程中進(jìn)行了按鍵防抖動(dòng)處理。 狀態(tài)定義如下。 end if。 process(clk50m) Time division process: 1hz begin if (rising_edge(clk50m)) then if counter1 = max1 then counter1 = 0。 end if。 數(shù)字電路綜合 實(shí)驗(yàn) end if。 process(clk25m) vertical counter begin if (rising_edge(clk25m)) then if (ht = 640+8) then if vt 525 then vt = vt + 1。 end if。 process(clk25m) line counter begin if (rising_edge(clk25m)) then if ht 800 then ht = ht + 1。依據(jù)時(shí)鐘信號(hào)進(jìn)行計(jì)數(shù)即可,當(dāng)行計(jì)數(shù)到 800像素時(shí)清空,列計(jì)數(shù)到 525時(shí)重新計(jì)數(shù)。 a sub module which can generate several substates end if。 end if。 end process。 elsif hpos 640 and vpos 420 then colors0 = 001001。 elsif hpos 640 and vpos 180 then colors0 = 000100。此處劃分采用了均分方式,只要增加劃分次數(shù)就可得到更多條幅,此處只按要求給出 8種顏色。 end process。 venable = 39。 venable = 39。當(dāng)顯示像素不在定義的 640 480 范圍內(nèi)時(shí),不輸出顏色信號(hào),稱(chēng)其為消隱區(qū)。 end if。 process(vt) vsync begin if (vt = (480+8+2) and vt (480+8+2+2)) then vsync = 39。 end process。 else hsync = 39。報(bào)告 第 20頁(yè) 圖 VGA行掃描狀態(tài)轉(zhuǎn)移圖 行同步信號(hào)產(chǎn)生描述如下。 由 VGA 標(biāo)準(zhǔn)中定義的時(shí)序關(guān)系,可以等效地計(jì)算出像素點(diǎn)的時(shí)序關(guān)系圖。 end process。 when s3 = colorstmp = colors3。 VGAMode 模塊負(fù)責(zé)根據(jù)當(dāng)前狀態(tài)選擇輸出 VGAColor 送入的不同模式下的顏色圖像信號(hào),輸出到 VGA接口。 when 11 = state = s3。 該模塊主要描述狀態(tài)機(jī)的轉(zhuǎn)移,轉(zhuǎn)移條件是 SW1和 SW2的組合值,描述如下。各模塊的 RTL圖參考 。設(shè)計(jì)是正確的。 vgacolor_port_map: vgacolor port map(clk25m = clk25m, clk100hz = clk100hz, clk1hz = clk1hz, hpos = ht, vpos = vt, sw = sw(7 downto 2),button = bt, colors0 = colors0,colors1 = colors1, colors2 = colors2,colors3 = colors3)。 pixelt_port_map: pixelt port map(clk25m = clk25m, htout = ht, vtout = vt)。 begin sw = (sw7,sw6,sw5,sw4,sw3,sw2,sw1,sw0)。 usercolors: out std_logic_vector(5 downto 0))。 end ponent。 hpos,vpos: in std_logic_vector(9 downto 0)。 hsync,vsync,henable,venable : out std_logic)。 colors: out std_logic_vector(5 downto 0))。 ponent vgasmode Define vag mode implement port (clk25m,clk1hz: in std_logic。 end ponent。報(bào)告 第 15頁(yè) end vgacontroller。 sw0,sw1,sw2,sw3,sw4,sw5,sw6,sw7: in std_logic。 只是修改了該子模式就完全實(shí)現(xiàn)了系統(tǒng)設(shè)計(jì)目標(biāo)。這樣,整個(gè)系統(tǒng)框架就搭建好了,描述也已完整,只要分別去實(shí)現(xiàn)各個(gè)模塊的接口定義就可以了。報(bào)告 第 14頁(yè) 系統(tǒng)硬件語(yǔ)言描述 描述思路 第二部分“系統(tǒng)設(shè)計(jì)”中對(duì)系統(tǒng)的功能和邏輯結(jié)構(gòu)、狀態(tài)機(jī)和狀態(tài)轉(zhuǎn)移以及模塊劃分都有了詳細(xì)的敘述,模塊間的耦合和信號(hào)的傳遞關(guān)系已經(jīng)清晰。四個(gè)方向看作四種狀態(tài),依舊采類(lèi)似頂層狀態(tài)機(jī)的方式實(shí)現(xiàn)。采 數(shù)字電路綜合 實(shí)驗(yàn)只要判斷 hmov 在小于 640 像素區(qū)域內(nèi),就不斷增大 hmov 就能實(shí)現(xiàn)橫向移動(dòng),當(dāng)移動(dòng)到右邊界,即到達(dá) 640 像素,則將 vmov 增加,使得 豎向移動(dòng), hmov 同時(shí)賦值為 0即可使色塊折回左邊界。當(dāng)需要色塊運(yùn)動(dòng)時(shí),只要改變二維變量( hmov, vmov)值即可實(shí)現(xiàn)橫向和縱向的運(yùn)動(dòng)。轉(zhuǎn)移條件均是子模式切換按鍵按下。 用戶(hù)模式設(shè)計(jì) 這里單獨(dú)把用戶(hù)模式提出來(lái),是因?yàn)榛镜娜齻€(gè)顏色顯示模式 S0、 S S2 的設(shè)計(jì)思路都簡(jiǎn)單而清晰,而用戶(hù)模式是本實(shí)驗(yàn)拓展部分,實(shí)驗(yàn)中設(shè)計(jì)了三種子模式 US0、US US2(參見(jiàn) 節(jié)中的設(shè)計(jì)目標(biāo)),三種模式都是動(dòng)態(tài)圖像的顯示,實(shí)現(xiàn)過(guò)程相對(duì)復(fù)雜。硬件描述時(shí)采用條件判斷語(yǔ)句生成帶有優(yōu)先級(jí)的選擇電路。 圖 頂層狀態(tài)機(jī)狀態(tài)轉(zhuǎn)移圖 基本模式有三個(gè),以 S0、 S S2 三個(gè)狀態(tài)描述,具體實(shí)現(xiàn)是在 VGAColor 模塊中。 狀態(tài)轉(zhuǎn)移圖如圖 。其具體 VHDL描述參見(jiàn) 。另一方面, VGAMode 將當(dāng)前顏色圖像信號(hào)、 VGASig 將行場(chǎng)同步和消隱信號(hào)輸出到 VGAController,控制器再輸出到 VGA 接口,以控制 CRT 顯示器。報(bào)告 第 9頁(yè) 圖 控制器與各模塊以及輸入輸出關(guān)系圖 受圖片大小的制約, 無(wú)法在圖 。某些模塊沒(méi)有特別給出時(shí)鐘輸入,在具體分塊電路硬件描述時(shí)再行具體引入,詳述于 小節(jié)中。 輸入: 行和列像素位置信號(hào),用戶(hù)控制信號(hào)。 以上模塊分別由各個(gè) Component具體實(shí)現(xiàn),由一個(gè) Package 進(jìn)行封裝。 e) VGAColor 產(chǎn)生所有狀態(tài)的顏色和圖像信號(hào),輸出到 VGAMode 模塊進(jìn)行選擇后送 VGA 接口。 d) VGASig 根據(jù)行列像素位置輸出 VGA 接口標(biāo)準(zhǔn)中定義的行列同步負(fù)脈沖信號(hào)和行列消隱信號(hào),兩種信號(hào)輸入到 VGA接口中可控制 CRT顯示器的掃描。 c) PixelCNT 產(chǎn)生像素位置信號(hào)。 輸出:送往 VGA接口的 RGB顏色信號(hào)。由此,可定義如下模塊: a) VGAMode 定義頂層狀態(tài)機(jī),四種狀態(tài)分別為橫彩模式、縱彩模式、純色模式、用戶(hù)模式,分別定義為 S0、 S S S3。 A. 頂層模塊: VGAController VGAMode Clock PixelCNT VGAColor UserMode 數(shù)字電路綜合 實(shí)驗(yàn)頂層描述了整個(gè)系統(tǒng)的功能和運(yùn)行;控制器子模塊實(shí)現(xiàn)系統(tǒng)中各個(gè)獨(dú)立而完整的功能部分;第三層為第二層的子模塊,用于擴(kuò)展第二層的功能,具體負(fù)責(zé)用戶(hù)模式的實(shí)現(xiàn)。顏色信息的產(chǎn)生、顯示器掃描同步信號(hào)等由 VGA 控制器產(chǎn)生,其輸出為 CRT 顯示器。報(bào)告 第 3頁(yè) 數(shù)字電路綜合 實(shí)驗(yàn)詳述與 。每個(gè)層次可用一個(gè)或多個(gè)具體模塊加以實(shí)現(xiàn),層次模塊劃分詳述于 。由此,容易得到系統(tǒng)結(jié)構(gòu)框圖,有關(guān) 系統(tǒng)功能分析和輸入輸出約束,詳述于 。 設(shè)計(jì)目標(biāo) 根據(jù)課題要求,實(shí)驗(yàn)中將目標(biāo)進(jìn)行了細(xì)化,敘述如下: 1. 設(shè)定 4種顯示模式:橫彩模式、縱彩模式、純色模式、用戶(hù)模式; 2. 撥碼開(kāi)關(guān)最低兩位 SW1~ SW0控制 4種模式的切換; 3. 撥碼開(kāi)關(guān)高 6位 SW7~ SW2每?jī)晌环謩e控制 RGB顯示 64種純色; 4. 橫向和縱向彩條均設(shè)置為 8種內(nèi)置的顏色; 5. 用戶(hù)模式中分 3種子模式: 1) 子模式 1 是沿固定軌跡運(yùn)動(dòng)模式,固定圖形沿水平方向運(yùn)動(dòng),行運(yùn)動(dòng)到邊界后折回到下一行繼續(xù)水平運(yùn)動(dòng); 2) 子模式 2 是反彈球模式,固定圖形在矩形屏幕做內(nèi)直線運(yùn)動(dòng),到邊界后沿反射角方向反彈,周而復(fù)始; 3) 子模式 3 是受控運(yùn)動(dòng)模式,使用上下左右 4 個(gè)按鍵控制固定圖形在屏幕內(nèi)的運(yùn)動(dòng)方向; 4) 子模式間的切換由一個(gè)單獨(dú)的按鍵進(jìn)行控制,按鍵按下后順序切換子模式。最終下載到實(shí)驗(yàn)板上測(cè)試通過(guò)。完成的功能包括 64種純色的顯示、橫向和縱向彩色條幅的顯示以及正方形色塊的運(yùn)動(dòng)與控制。 實(shí)驗(yàn)設(shè)計(jì)了基于 VHDL描述的 VGA顯示控制器,通過(guò) FPGA控制 CRT顯示器顯示色彩和圖形。完成了狀態(tài)機(jī)和核心模塊以及系統(tǒng)整體的仿真驗(yàn)證。 1. 顯示模式為 64048060Hz 模式; 2. 用撥碼開(kāi)關(guān)控制
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1