freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl語(yǔ)言實(shí)現(xiàn)數(shù)字電子鐘的設(shè)計(jì)-wenkub

2023-07-12 18:48:51 本頁(yè)面
 

【正文】 用于調(diào)整小時(shí),接按鍵,enhour是當(dāng)分計(jì)數(shù)記到59后產(chǎn)生時(shí)脈沖,分計(jì)數(shù)重新從0開(kāi)始計(jì)數(shù)。(1)秒計(jì)數(shù)模塊: Second模塊為秒計(jì)數(shù)模塊。四.實(shí)驗(yàn)原理:1. 實(shí)驗(yàn)主控系統(tǒng)原理圖 : 秒計(jì)數(shù)分計(jì)數(shù)時(shí)計(jì)數(shù)送數(shù)及六選一選擇器整點(diǎn)報(bào)警數(shù)碼管秒脈沖分脈沖時(shí)脈沖秒脈沖時(shí)計(jì)數(shù)秒計(jì)數(shù)分計(jì)數(shù)譯碼傳數(shù)掃描揚(yáng)聲器:以上為方案原理圖,秒計(jì)數(shù)、分計(jì)數(shù)模塊為60計(jì)數(shù),計(jì)滿(mǎn)后分別產(chǎn)生分脈沖、時(shí)脈 ,用于分計(jì)數(shù)、時(shí)計(jì)數(shù)。輸入有時(shí)分秒計(jì)數(shù),時(shí)鐘脈沖。(2) 顯示模塊。因此對(duì)于數(shù)字鐘來(lái)說(shuō)首先是時(shí)分秒的計(jì)數(shù)功能,然后能顯示,附帶功能是清零、調(diào)整時(shí)分。 ,以及時(shí)事時(shí)鐘外圍硬件設(shè)備的組成。設(shè)計(jì)要求(1)具有時(shí)、分、秒計(jì)數(shù)顯示功能。本文詳細(xì)介紹EDA課程設(shè)計(jì)任務(wù)——數(shù)字鐘的設(shè)計(jì)的詳細(xì)設(shè)計(jì)過(guò)程及結(jié)果,并總結(jié)出心得體會(huì)。1987年底,VHDL被IEEE和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語(yǔ)言 。40說(shuō)明書(shū)質(zhì)量設(shè)計(jì)方案正確、表達(dá)清楚;設(shè)計(jì)思路、實(shí)驗(yàn)(論證)方法科學(xué)合理;達(dá)到課程設(shè)計(jì)任務(wù)書(shū)規(guī)定的要求;圖、表、文字表達(dá)準(zhǔn)確規(guī)范,上交及時(shí)。40回答問(wèn)題情況回答問(wèn)題準(zhǔn)確,基本概念清楚,有理有據(jù),有一定深度。自IEEE公布了VHDL的標(biāo)準(zhǔn)版本,IEEE1076(簡(jiǎn)稱(chēng)87版)之后,各EDA公司相繼推出了自己的VHDL設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具可以和VHDL接口?!。坳P(guān)鍵字]:EDA技術(shù);VHDL語(yǔ)言;數(shù)字鐘  EDA技術(shù)作為現(xiàn)代電子設(shè)計(jì)技術(shù)的核心,它依賴(lài)強(qiáng)大的計(jì)算機(jī),在EDA工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言HDL為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、邏輯簡(jiǎn)化、邏輯分割、邏輯綜合,以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線(xiàn)路系統(tǒng)功能。(2)具有清零的功能,且能夠?qū)τ?jì)時(shí)系統(tǒng)的小時(shí)、分鐘進(jìn)行調(diào)整。通過(guò)參考EDA課程設(shè)計(jì)指導(dǎo)書(shū),現(xiàn)有以下方案::時(shí)鐘信號(hào),清零按鍵,調(diào)時(shí)按鍵,調(diào)分按鍵;輸出端口有:用于接數(shù)碼管的八段碼輸出口,掃描用于顯示的六個(gè)數(shù)碼管的輸出口。顯示模塊由一個(gè)六進(jìn)制計(jì)數(shù)器模塊和一個(gè)七段譯碼器組成。(4)采用點(diǎn)陣式數(shù)碼管顯示,點(diǎn)陣式數(shù)碼管是由八行八列的發(fā)光二極管組成,對(duì)于顯示文字比較適合,如采用在顯示數(shù)字顯得太浪費(fèi),且價(jià)格也相對(duì)較高,LED數(shù)碼管價(jià)格適中,對(duì)于顯示數(shù)字最合適,但無(wú)法顯示圖形文字,在顯示星期是也只能用數(shù)字表示,而且采用動(dòng)態(tài)掃描法與單片機(jī)連接時(shí),在編程時(shí)比較復(fù)雜。各計(jì)數(shù)器同時(shí)將計(jì)數(shù)值送報(bào)時(shí)模塊和送數(shù)及六選一選擇器模塊。Clk作為秒脈沖,reset復(fù)位,setmin用于調(diào)整分鐘,接按鍵,enmin是當(dāng)秒計(jì)數(shù)記到59后產(chǎn)生分脈沖,秒計(jì)數(shù)重新從0開(kāi)始計(jì)數(shù)。Daout為分計(jì)數(shù)。2.具有驅(qū)動(dòng)8位八段共陰掃描數(shù)碼管的片選驅(qū)動(dòng)信號(hào)輸出和八段字形譯碼輸 出。 use 。 時(shí)鐘輸入 Rst : in std_logic。 八段碼管顯示輸出 SEG_SEL : buffer std_logic_vector(2 downto 0) 。 signal Disp_Decode : std_logic_vector(7 downto 0)。 signal Clk_Count1 : std_logic_vector(13 downto 0)。 signal lamp :std_logic_vector(2 downto 0)。) then if(Clk_Count110000) then Clk_Count1=Clk_Count1+1。 end process。) then 系統(tǒng)復(fù)位 SEC1=0。 HOUR1=0。139。 HOUR10=HOUR10+1。 end if。 if(MIN10=5) then MIN10=0。 end if。 if(MIN10=5) then MIN10=0。 HOUR10=0。 end if。
點(diǎn)擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1