【正文】
al volume small and dependable sex Gao and achievement to consume a low cymometer is the diagraph instrument of research production realm indispensabilities, such as calculator, munication equipments and audio frequency video frequency...etc..The digital cymometer that adopts VDHL to weave a distance to design a realization accounts, in addition to is measured the orthopedics of signal part, the key importation part and figures show part, rest all in one FPGA realization of chip, the whole system simplifies very much, and has vivid spot to change the foundation that doesn39。該數(shù)字頻率計具有高速、精確、可靠、抗干擾性強和現(xiàn)場可編程等優(yōu)點。具有體積小、可靠性高、功耗低的特點。它不僅可以測量正弦波、方波、三角波、尖脈沖信號和其他具有周期特性的信號的頻率,而且還可以測量它們的周期。經(jīng)過改裝,可以測量脈沖寬度,做成數(shù)字式脈寬測量儀;可以測量電容做成數(shù)字式電容測量儀;在電路中增加傳感器,還可以做成數(shù)字脈搏儀、計價器等。數(shù)字頻率計是計算機、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測量儀器。關(guān)鍵詞:FPGA芯片、VHDL語言、數(shù)字頻率計、數(shù)字頻率計原理圖、EDA技術(shù)AbstractDigital cymometer is to directly show to be measured a kind of diagraph of signal frequency to equip with the decimal system not only can measure sine wave, square wave, triangle wave, sharp pulse signal and other have a period of the frequency of the signal of characteristic, and can also measure their been refitted, can measure pulse width, make into the number type vein breadth to measure an instrument。t change hardware electric circuit, carries on various function that the improvement can also raise system further to the number39。技術(shù)性能指標(biāo)及分工1)能夠測量正弦波、三角波、鋸齒波、矩形波等周期性信號的頻率;2)能直接用十進制數(shù)字顯示測得的頻率;3)頻率測量范圍:1HZ~999999HZ;4)測量時間:T=;5)用CPLD/FPGA可編程邏輯器件實現(xiàn);進度安排:2011/06/27 集體針對設(shè)計進行分析、討論,確定好分工,明確設(shè)計進度,以及對設(shè)計 總體上有個了解。07/06—07/07 最后分析整理,書寫課程設(shè)計報告。目前許多高精度的數(shù)字頻率計都采用單片機加上外部的高速計數(shù)器來實現(xiàn),然而單片機的時鐘頻率不高導(dǎo)致測頻速度比較慢,并且在這種設(shè)計中,由于PCB版的集成度不高,導(dǎo)致PCB板走線長,因此難以提高計數(shù)器的工作頻率。以QUARTUS II軟件為設(shè)計平臺,采用VHDL語言實現(xiàn)數(shù)字頻率計的整體設(shè)計。 設(shè)計原理 頻率計設(shè)計原理數(shù)字頻率計的基本原理是用一個頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時鐘,對比測量其他信號的頻率。閘門時間越短,測得的頻率值刷新就越快,但測得的頻率精度就受影響。數(shù)字式頻率計的測量原理有兩類:一是直接測頻法,即在一定閘門時間內(nèi)測量被測信號的脈沖個數(shù);二是間接測頻法即測周期法,如周期測頻法。本設(shè)計中使用的就是直接測頻法,即用計數(shù)器在計算1s內(nèi)輸入信號周期的個數(shù),其測頻范圍為1Hz~999999Hz。當(dāng)tsten為高電平1時,允許計數(shù);為低電平0時停止計數(shù),并保持其計數(shù)結(jié)果。第2章 頻率計測量頻率的層次化設(shè)計方案頻率計電路工作時先要產(chǎn)生一個計數(shù)允許信號(即閘門信號),閘門信號的寬度為單位時間,如1S。同時產(chǎn)生400HZ的信號來控制數(shù)碼管顯示。entity lpm_counter0 is port(clock: in std_logic 。architecture syn of lpm_counter0 is signal q1,q2 :std_logic。event and clock=39。 掃描信號 if t2=400 then t2:=1。 else t1:=t1+1。 clk_div1 =q1。經(jīng)過分頻后產(chǎn)生1HZ的基準(zhǔn)信號,從clkq輸出。use 。計數(shù)器清零信號 load:out std_logic)。event and clk=39。 end process。039。039。 鎖存器輸出與計數(shù)器使能信號反相 tsten=div2clk。當(dāng)高電平1時允許計數(shù)器計數(shù),低電平0時禁止計數(shù)。use 。 計數(shù)進位end t10。 then cqi=0000。 then if en=39。 等于9則計數(shù)器清零 end if。產(chǎn)生進位process(cqi) begin if cqi=1001 then c10=39。 end if。在項目編譯仿真成功后,用于以下的頂層設(shè)計。use 。 dout: out std_logic_vector(23 downto 0))。139。end art。use 。鎖存器輸入的24位信號 dataout: out std_logic_vector(3 downto 0)。 begin clk同掃描頻率clk循環(huán)變化 process(clk) begin if rising_edge(clk) then if clk 5 thenclk=clk+1。