freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl語言的數(shù)字鐘設(shè)計說明書-文庫吧

2025-04-17 19:00 本頁面


【正文】 進(jìn)位輸出 enhour1 置“ 0”,不向時模塊進(jìn)位 END IF。 END IF。 END PROCESS。 END behave。 分模塊的仿真實現(xiàn) 由圖 34 可以看出,當(dāng) clk輸入脈沖信號時, 態(tài) 掃描控制模塊 daout和 count開始啟動計數(shù),這時時進(jìn)位 enhour為低電平,且時設(shè)置 hour_set也為低電平。在 ,將 hour_set設(shè)置為高電平,此時 enhour產(chǎn)生與 clk1相同的頻率信號。當(dāng) 時, hour_set恢復(fù)低電平, enhour 也變?yōu)榈碗娖剑o脈沖)。 , daout計數(shù)到 60, enhour產(chǎn)生脈沖, daout和 count清零并將重新計數(shù)。 如上所述功能實現(xiàn)。 7 圖 34 分模塊仿真圖 時模塊設(shè)計 圖 35 時模塊頂層設(shè)計原理圖 時模塊 VHDL程序 LIBRARY ieee。 use 。 use 。 ENTITY hour IS PORT(clk:IN STD_LOGIC。 daout:OUT STD_LOGIC_VECTOR(5 DOWNTO 0))。 2n1≥ 24, n=6, 26 =32,小時用 6 位二進(jìn)制數(shù)表示 daout( 5..4)為十位, daout( 3..0)為個位, 24 循環(huán)計數(shù) END ENTITY hour。 ARCHITECTURE behave OF hour IS SIGNAL count:STD_LOGIC_VECTOR(5 DOWNTO 0)。定義內(nèi)部計數(shù)節(jié)點, 24 循環(huán)計數(shù) BEGIN daout=count。 PROCESS(clk) BEGIN IF(clk39。event and clk=39。139。)THEN 檢測小時模塊的脈沖上升沿 IF(count(3 downto 0)=1001)THEN 小時的各位是否到“ 9” IF(count1623)THEN count=count+7。 小時各位到“ 9”后,計數(shù)沒到“ 23”,則加“ 7”變?yōu)椤?0”,同時向十位進(jìn)位 ELSE count=000000。 小時各位到“ 9”后,如果計數(shù)值大于“ 23”,則置小時為“零” 8 END IF。 ELSIF(count1623)THEN count=count+1。 小時個位沒計到“ 9”且計數(shù)沒到“ 23”時,加“ 1” ELSE count=000000。 小時計數(shù)已到“ 23”時,計數(shù)值回零“ 000000”(零時) END IF。 END IF。 END PROCESS。 END behave。 時模塊的仿真實現(xiàn) 由圖 36 可以看出,當(dāng) clk 輸入脈沖信號時,動 態(tài) 掃描控制模塊 daout 和 count 開始啟動計數(shù)。在 時, daout和 count達(dá)到 24,并且從重新開始計數(shù)。 如上所述功能實現(xiàn)。 圖 36 時模塊仿真圖 動態(tài)顯示掃描模塊設(shè)計 圖 37 動態(tài)顯示掃描模塊頂層設(shè)計原理圖 動態(tài)顯示掃描模塊 VHDL程序 library ieee。 use 。 use 。 use 。 entity selmk is port(clk1:in std_logic。 動態(tài)掃描輸入脈沖 9 sec,min:in std_logic_vector(6 downto 0)。 7 位二進(jìn)制數(shù)表示的秒、分計數(shù)輸入 hour:in std_logic_vector(5 downto 0)。 6 位二進(jìn)制數(shù)表示的小時計數(shù)輸入 daout:out std_logic_vector(3 downto 0)。 4 位十進(jìn)制碼計數(shù)輸入 dp:out std_logic。 時、分、秒間的間隔“點”輸出 sel:out std_logic_vector(2 downto 0))。 3 位數(shù)碼管位選輸出,接外部 38 譯碼器輸出,譯碼輸出再經(jīng)驅(qū)動接數(shù)碼管共陰極端 end entity selmk。 architecture behave of selmk is signal count:std_logic_vector(2 downto 0)。 定義內(nèi)部計數(shù)節(jié)點,六進(jìn)制循環(huán)計數(shù)( 6 個數(shù)碼管顯示) begin sel=count。 process(clk1) begin if(clk139。event and clk1=39。139。)then 檢測動態(tài)掃描輸入脈沖上升沿 if(count=101)then count=000。 else count=count+1。 “ 000~101”六進(jìn)制循環(huán)計數(shù) end if。 end if。 case count is when000=daout=sec(3 downto 0)。dp=39。039。 “ 000”時選擇“秒的各位”計數(shù)值顯示,點不亮 when001=daout(3)=39。039。daout(2 downto 0)=sec(6 downto 4)。dp=39。039。 “ 001”時選擇“秒的各位”計數(shù)值顯示,點不亮 when010=daout=min(3 downto 0)。dp=39。139。 “ 010”時選擇“分的各位”計數(shù)值顯示,點亮 when011=daout(3)=39。039。daout(2 downto 0)=min(6 downto 4)。dp=39。039。 “ 011”時選擇“分的各位”計數(shù)值顯示,點不亮 when100=daout=hour(3 downto 0)。dp=39。139。 “ 100”時選擇“時的各位”計數(shù)值顯示,點亮 when others=daout(3 downto 2)=00。 daout(1 downto 0)=hour(5 downto 4)。dp=39。039。 “ 101”時選擇“時的各位”計數(shù)值顯示,點不亮 end case。 end process。 end behave。 10 動態(tài)顯示掃描模塊的仿真實現(xiàn) 由圖 38可以看出,當(dāng) clk1輸入脈沖信號時,隨著信號的變化, sec的十位、個位, min的十位、個位, hour的十位、個位分別送進(jìn)了 daout中。 圖 38 動態(tài)顯示掃描模塊頂層設(shè)計原理圖 段碼譯碼模塊設(shè)計 圖 39 段碼譯碼頂層設(shè)計原理圖 段碼譯碼模塊 VHDL程序 library ieee。 use 。 entity decl7s is port(num:in std_logic_vector(3 downto 0)。 led7s:out std_logic_vector(6 downto 0))。 end entity decl7s。 architecture behave of decl7s is begin process(num) begin case num is abcdefg 字形 when0000=led7s=1111110。 when0001=led7s=0110000。 11 when0010=led7s=1101101。 when0011=led7s=1111001。 when0100=led7s=0110011。 when0101=led7s=1011011。 when0110=led7s=1011111。 when0111=led7s=1110000。 when1000=led7s=1111111。 when1001=led7s=1111011。 when others=null。 end case。 end process。 end behave。 段碼譯碼模塊的仿真實現(xiàn) 由圖 310 可以看出, 此模塊是將掃描模塊的 dout信號輸出的 BCD碼轉(zhuǎn)換為數(shù)碼管可以顯示的段碼。 如上所述功能實現(xiàn)。 圖 310段碼譯碼模塊時序仿真圖 圖 311 整點報時模塊頂層設(shè)計原理圖 整點報時模塊 VHDL程序 LIBRARY ieee。 use 。 use 。 entity bsmk is port(clk:in std_logic。 脈沖輸入,可接 1Hz 秒脈沖輸入,用于整點聲報時發(fā)出間斷報時響聲 12 dain:in std_logic_vector(6 downto 0)。 分鐘模塊計數(shù)輸入 speak:out std_logic。 整點聲報時輸出,外接蜂鳴器 lamp:out std_logic_vector(2 downto 0))。 整點光報時輸出,可外接紅、藍(lán)、黃三個發(fā)光二極管 end entity bsmk。 architecture behave of bsmk is signal count:std_logic_vector(1 downto 0)。 定義內(nèi)部計數(shù)節(jié)點 begin process(clk) begin speak=count(0)and clk。 整點時進(jìn)行聲報時 if(clk39。event and clk=39。139。)then if(dain=0000000)then “ 0000000”(“零分”)時,即為整點 if(count10)then count=01。 else count=count+1。 end if。 if(count=01)then lamp=001。 整點光報時,可接紅色發(fā)光二極管 elsif(count=10)then lamp=010。 整點光報時,可接綠色發(fā)光二極管 elsif(count=11)then lamp=100。 整點光報時,可接黃色發(fā)光二極管 end if。 else lamp=000。 count(0)=39。039。 沒到整點時,無聲光報時輸出 end if。 end if。 end process。 end behave。 整點報時模塊的仿真實現(xiàn) clk 為脈沖輸入信號, lamp 表示報警燈的信號顯示, speak 表示蜂鳴器報警信號顯示。 由圖 312 可以看出,當(dāng) clk 輸入脈沖信號時, dain 并沒有信號產(chǎn)生。而 count 開始啟動計數(shù),在 ,報時 speak 開始報警, lamp 閃爍顯示。在 時, dain 變?yōu)?1, speak和 lamp 都變?yōu)榈碗娖剑ú辉賵缶?由此可以看出在 dain為零時,分鐘即為零。 如上所述功能實現(xiàn)。 13 圖 312 整點報時模塊仿真圖 總結(jié) 在此次的數(shù)字鐘設(shè)計過程中,最終結(jié)果與預(yù)期效果基本一致更進(jìn)一步地了解和熟悉有關(guān)數(shù)字電路的知識和具體的應(yīng)用。學(xué)會了利用 MAX+PlusII硬件描述語言 VHDL編寫程序。并能根據(jù)仿真結(jié)果分析設(shè)計的存在的問題和缺陷,從而進(jìn)行程序的調(diào)試和完善。此次的數(shù)字鐘設(shè)計重點在于報時模塊的代碼編寫。通過這次的設(shè)計實驗更進(jìn)一步地增強(qiáng)了實驗的動手能力,對數(shù)字鐘的工作與原理有了更加透徹的理解。 參考文獻(xiàn) [1] 譚會生,張昌凡 . EDA技術(shù)及應(yīng)用 . 西安:西安電子科技大學(xué)出版社, [2] 趙曙光,郭萬有,楊頌華 .. 可編程邏輯器件原理、開發(fā)與應(yīng)用 . 西安:西安電子科技大學(xué)出版社, [3] 潘松,黃繼業(yè) . EDA技術(shù)實用教程 . 北京:科學(xué)出版社, [4] 李洋,張曉燕,田曉平編 . EDA技術(shù)實用教程 . 北京:機(jī)械工業(yè)出版社, 14 大學(xué)本科生畢業(yè)設(shè)計 (論文) 撰寫規(guī)范 本科生畢業(yè)設(shè)計(論文)是學(xué)生在畢業(yè)前提交的一份具有一定研究價值和實用價值的學(xué)術(shù)資料。它既是本科學(xué)生開始從事工程設(shè)計、科學(xué)實驗和科學(xué)研究的初步嘗試,也是學(xué)生在教師的指導(dǎo)下,對所進(jìn)行研究 的適當(dāng)表述,還是學(xué)生畢業(yè)及學(xué)位資格認(rèn)定的重要依據(jù)。畢業(yè)論文撰寫是本科生培養(yǎng)過程中的基本訓(xùn)練環(huán)節(jié)之一,應(yīng)符合國家及各專業(yè)部門制定的有關(guān)標(biāo)準(zhǔn),符合漢語語法規(guī)范。指導(dǎo)教師應(yīng)加強(qiáng)指導(dǎo),嚴(yán)格把關(guān)。 論文結(jié)構(gòu)及要求 論文包括題目、中文摘要、外文摘要、目錄、正文、參考文獻(xiàn)、致謝和附錄等幾部分。 題目 論文題目應(yīng)恰當(dāng)、準(zhǔn)確地反映論文的主要研究內(nèi)容。不應(yīng)超過 25字,原則上不得使用標(biāo)點符號,不設(shè)副標(biāo)題。 摘要與關(guān)鍵詞 摘要 本科生畢業(yè)設(shè)計(論文)的摘要均要求用中、英兩種文字給出,中文 在前。 摘要應(yīng)扼要敘述論文的研究目的、研究方法、研究內(nèi)容和主要結(jié)果或結(jié)論,文字要精煉,具有一定的獨立性和完整性,摘要一般應(yīng)在 300 字左右。摘要中不宜使用公式、圖表,不標(biāo)注引用文獻(xiàn)編號,避免將摘要寫成目錄式的內(nèi)容介紹。 關(guān)鍵詞 15 關(guān)鍵詞是供檢索用的主題詞條,應(yīng)采用能覆蓋論文主要內(nèi)容的通用技術(shù)詞條(
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1