freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

紅綠燈交通燈控制器eda設(shè)計(jì)(doc畢設(shè)論文)-閱讀頁(yè)

2025-07-13 01:18本頁(yè)面
  

【正文】 out std_logic_vector(1 downto 0)。 yellow: out std_logic_vector(1 downto 0))。 ARCHITECTURE BEHAVIOR of traffic_CON IStype Sreg0_type is (r0g1, r0y1, g0r1, y0r1, y0y1, y0g1, g0y1, r0r1)。 signal st_transfer: std_logic。 r(10)y(10)g(10)begin rebounce:process (reset,clk,ena_scan,st_butt) variable rebn_ff: std_logic_vector(5 downto 0)。139。139。 st_transfer =39。 elsif (clk39。139。139。 st_transfer=39。 elsif (rebn_ff=2) then rebn_ff := rebn_ff1。139。 st_transfer =39。 end if。 end if。 CON: process (clk,ena_1Hz,reset) begin if (reset=39。) then state=r0g1。 recount=39。 red=239。 green=239。 yellow=239。 else if (clk39。139。139。139。139。139。 sign_state = 01。039。 red=239。 green=239。 yellow=239。 end if。039。139。039。139。 else recount=39。 state=r0y1。 end if。 when r0y1 = 現(xiàn)態(tài): red0 on yellow1 flash if (a_m=39。 and ena_1Hz=39。) then if (next_state = 39。) then recount=39。 state=g0r1。 else recount=39。 state=r0y1。b01。b00。b10。 elsif (a_m=39。 and ena_scan=39。) then if (st_transfer=39。) then 0: 保持,1: 傳送light state recount=39。 state=r0y1。139。 sign_state = 10。 end if。139。139。139。139。 sign_state = 11。039。 red=239。 green=239。 yellow=239。 end if。039。139。039。139。 else recount=39。 state=y0r1。 end if。 when y0r1 = 現(xiàn)態(tài): green0 on red1 on if (a_m=39。 and ena_1Hz=39。) then if (next_state = 39。) then recount=39。 state=r0g1。 else recount=39。 state=y0r1。b10。b00。b01。 elsif (a_m=39。 and ena_scan=39。) then if (st_transfer=39。) then 0: 保持,1: 傳送light state recount=39。 state=y0r1。139。 sign_state = 00。 end if。 recount=39。 sign_state = 00。 end if。 end process。 red = light(5 downto 4)。 flash_1Hz)。end BEHAVIOR。程序包含兩個(gè)進(jìn)程debounce、fsm。當(dāng)外部按下st_butt鍵時(shí)(即st_butt=0),內(nèi)部的計(jì)數(shù)器rebn_ff開始計(jì)數(shù)(3f~02),在rebn_ff尚未數(shù)到02時(shí),st_butt鍵被松開,那么狀態(tài)將不會(huì)改變。假如是由于電路效應(yīng)引起開關(guān)誤動(dòng)作,開關(guān)抖動(dòng)的速度是非??斓模s小于1ms),故電路不會(huì)有誤動(dòng)作的產(chǎn)生,也就達(dá)到了這個(gè)抖動(dòng)消除的目的。紅綠燈信號(hào)譯碼電路traffic_fsm仿真輸出波形如圖655和圖656所示,元件符號(hào)如圖657所示:圖655自動(dòng)操作模式(a_m=1)traffic_fsm仿真結(jié)果圖656手動(dòng)操作模式(a_m=0)traffic_fsm仿真結(jié)果圖657紅綠燈信號(hào)譯碼電路traffic_fsm元件符號(hào)紅綠燈交通燈控制器頂層電路分為四個(gè)模塊,它們是系統(tǒng)時(shí)序發(fā)生電路clk_gen,紅綠燈計(jì)數(shù)時(shí)間選擇模塊traffic_mux,定時(shí)控制電路count_down,紅綠燈信號(hào)譯碼電路traffic_CON,圖658所示的是頂層電路原理圖。圖658紅綠燈交通燈控制器電路原理圖利用元件例化的方法,按圖658將4個(gè)子電路連接起來(lái)其頂層設(shè)計(jì)的VHDL程序如下:LIBRARY IEEE。USE 。ENTITY traffic_TOP IS port(RE:in std_logic。 K1:in std_logic。 st_butt recount:out std_logic。 R:out std_logic_vector(1 downto 0)。 Y:out std_logic_vector(1 downto 0)。End traffic_TOP。 clk:in std_logic。 ena_1Hz:out std_logic。 end ponent。 clk:in std_logic。 recount:in std_logic。 load: out std_logic_vector(7 downto 0))。 ponent count_down port(reset: in std_logic。 ena_1Hz:in std_logic。 load: in std_logic_vector(7 downto 0)。 next_state: out std_logic)。 ponent traffic_CON port(reset:in std_logic。 ena_scan:in std_logic。 flash_1Hz:in std_logic。 st_butt:in std_logic。 sign_state: out std_logic_vector(1 downto 0)。 green: out std_logic_vector(1 downto 0)。 end ponent。signal ena_1Hz_1:std_logic。signal recount_1:std_logic。signal sign_state_1:std_logic_vector(1 downto 0)。begin u1:clk_gen port map(RE,CLK,ena_scan_1,ena_1Hz_1,flash_1Hz_1)。 u3:count_down port map(RE,CLK,ena_1Hz_1,recount_1,load,S,next_state_1)。NEXT_S=next_state_1。其編譯成功后的仿真輸出波形如圖65圖660所示: 圖659自動(dòng)操作模式(K1=1)頂層電路仿真結(jié)果圖660手動(dòng)操作模式(K1=0)頂層電路仿真結(jié)果紅綠燈交通燈控制器元件符號(hào)如圖661所示。不失一般性,選擇目標(biāo)器件為EP1C6Q240C8芯片。引腳鎖定完成后,重新對(duì)該工程進(jìn)行編譯,綜合適配后將配置數(shù)據(jù)下載入GW48實(shí)驗(yàn)平臺(tái)的FPGA中(有關(guān)CLK等引腳在FPGA芯片EP1C6Q240C8引腳中的序號(hào),請(qǐng)參見附錄的附表
點(diǎn)擊復(fù)制文檔內(nèi)容
數(shù)學(xué)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1