freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的8位十進(jìn)制頻率計(jì)設(shè)計(jì)-閱讀頁(yè)

2024-11-27 21:37本頁(yè)面
  

【正文】 許,低電 平時(shí)計(jì)數(shù)禁止。 CNT10 如下圖所示 ,其中 CLR 為復(fù)位接 FCTRL 的 CLR_CNT 端, ENA 接FCTRL 的 EN 端, CQ[3..0]接鎖存器的 DOUT[31..0]端。 寄存器模塊仿真 由 上 圖 可以看出,當(dāng) RST 為高電平時(shí), 32 位輸出 DOUT 立即全部置零,當(dāng)RST 為低電平時(shí),在 LOAD 信號(hào)有上升沿時(shí), DIN 將值賦給 DOUT。 sel 的 000 至 111 依次對(duì)應(yīng) daout 從第四位至高四位。 總電路仿真 clk1 為 1HZ(基準(zhǔn)頻率), clk2 為待測(cè)頻率, clk3 為 32768HZ(掃描頻率) , 剩下的幾個(gè)參數(shù),在前幾個(gè)仿真中均已提過,此處不再贅述。 use 。 entity fctrl is port( clk: in std_logic。 clr_t: out std_logic。 end fctrl。 begin process(clk) begin if(clk39。139。 end if。 process(clk,div2clk) begin if(clk=39。 and div2clk=39。) then clr_t=39。 else clr_t=39。 end if。 load=not div2clk。 end behav。 use 。 rst: in std_logic。 dout:out std_logic_vector(31 downto 0))。 architecture behav of regester32 is 10 signal data:std_logic_vector(31 downto 0)。139。039。 elsif(load39。139。 end if。 end process。 掃描模塊 library ieee。 use 。 din : in std_logic_vector(31 downto 0)。 sel : out std_logic_vector(2 downto 0))。 architecture behav of seltime is signal sec : std_logic_vector(2 downto 0)。event and clk=39。) then if(sec=111) then sec=000。 end if。 end process。 when 001=daout=din(7 downto 4)。 when 011=daout=din(15 downto 12)。 when 101=daout=din(23 downto 20)。 when 111=daout=din(31 downto 28)。 end case。 sel=sec。 顯示模塊 LIBRARY IEEE。 ENTITY DELED IS PORT( S: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 END DELED。 SIGNAL DOUT:STD_LOGIC_VECTOR(7 DOWNTO 0)。 PROCESS(DATA) BEGIN CASE DATA IS WHEN 0000=DOUT=00111111。 WHEN 0010=DOUT=01011011。 WHEN 0100=DOUT=01100110。 WHEN 0110=DOUT=01111101。 WHEN 1000=DOUT=01111111。 WHEN 1010=DOUT=01110111。 WHEN 1100=DOUT=00111001。 WHEN 1110=DOUT=01111001。 WHEN OTHERS=DOUT=00000000。 12 END PROCESS。 G=DOUT(6)。 E=DOUT(4)。 C=DOUT(2)。 A=DOUT(0)。 計(jì)數(shù)器模塊 library ieee。 entity t10 is port(clk: in std_logic。 ena: in std_logic。 carry_out: out std_logic)。 architecture behav of t10 is signal cqi: integer range 0 to 9。139。 elsif(clk39。139。139。 carry_out=39。 else cqi=cqi+1。039。 end if。 end process。 end behav。 資料 潘松 , 黃繼業(yè) 科學(xué)出版社18
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1