freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

停車場管理系統(tǒng)的設(shè)計-閱讀頁

2025-04-22 23:02本頁面
  

【正文】 )。 end process。當(dāng)A鍵按下時,計時器開始計時,B鍵按下時,計時器停止計時,clk為計時脈沖,來一個上升沿,計數(shù)器加1,reset為總復(fù)位信號。use 。entity jishi isport(A,B,reset:in std_logic。 data:out std_logic_vector(15 downto 0))。architecture one of jishi issignal data1:std_logic_vector(15 downto 0)。beginprocess(clk_minhz,reset,A,B)beginif reset=39。 thendata1(15 downto 0)=0000000000000000。event and A=39。)then c=39。end if。139。039。if c=39。 thenif clk_minhz39。139。if data1(7 downto 4)=0101then data1(15 downto 8)=data1(15 downto 8)+1。 else data1(7 downto 4)=data1(7 downto 4)+1。else data1(3 downto 0)=data1(3 downto 0)+1。end if。039。end if。end process。初值為0,當(dāng)費率在099范圍內(nèi)時,費率按鍵每按一次,費率加1。use 。entity feilvqi is port(reset:in std_logic。 feilv:out std_logic_vector(7 downto 0) )。architecture one of feilvqi issignal feilv1:std_logic_vector(7 downto 0)。beginprocess(reset,clk_minhz)beginif reset=39。then feilv1=00000000。event and clk_minhz=39。thenif feilv1(3 downto 0)=1001then feilv1(3 downto 0)=0000。else feilv1(7 downto 4)=feilv1(7 downto 4)+1。else feilv1 (3 downto 0)=feilv1(3 downto 0)+1。end if。end process。滾動顯示模塊,當(dāng)C=1時不滾動,但當(dāng)C=0時滾動顯示車牌、停車時間、及停車中費用。 圖9 滾動模塊圖形文件library ieee。use 。entity gundong isport (A,B:in std_logic。 chep:in std_logic_vector(11 downto 0)。 clk_200HZ,clk_1HZ: in std_logic。 y:out std_logic_vector(3 downto 0))。architecture body_chooser of gundong is signal c:std_logic。signal t: std_logic_vector(3 downto 0)。event and A=39。) then c=39。end if。139。039。end process。event and clk_200HZ=39。)thenif count111 thencount=count+1。end if。end process。event and clk_1HZ=39。 THENIF t1100 then t=t+1。 end if。end process。139。sel=00000001。sel=00010000。sel=00001000。sel=00000100。sel=00001000。sel=00100000。sel=01000000。sel=10000000。end case。sel=10000000。sel=01000000。sel=00100000。sel=00010000。sel=00001000。sel=00000100。sel=00000010。sel=00000001。end case。sel=00000001。sel=00000010。end case。sel=10000000。sel=01000000。sel=00100000。sel=00010000。sel=00001000。sel=00000100。sel=00000010。sel=00000001。end case。end process。該模塊將將輸入的二進制信號譯成相應(yīng)的七段共陽極數(shù)碼管的編碼。 library ieee。use 。led7s:out std_logic_vector(6 downto 0))。architecture one of ymq isbeginprocess(y)begincase y iswhen0000=led7s=1000000。1when0010=led7s=0100100。3when0100=led7s=0011001。5when0110=led7s=0000010。7when1000=led7s=0000000。9when others=null。end process。3. 使用說明按鍵說明: A按鍵:為開始按鈕,即當(dāng)車輛進入停車場時,按下A開始計時,并顯示進入車輛的車牌號及收費費率。費率按鍵:為費率設(shè)置按鈕,每按一次,費率加1。clk為系統(tǒng)時鐘,綁定到實驗箱上的50MHz的時鐘上,led7s[6..0]為數(shù)碼管段選控制信號,sel[7..0]為8個數(shù)碼管的位選控制信號。按下復(fù)位鍵,可重新測試。 4. 心得與體會兩周的EDA課程設(shè)計,當(dāng)看到同學(xué)們都搞完的時候,我也感到很急迫。雖然在查找資料中找到了一個相似的程序,但是還是有很多的錯誤。在這場戰(zhàn)斗中我有很大的收獲,首先我采用層次化結(jié)構(gòu)化設(shè)計,將此項設(shè)計任務(wù)分成若干模塊,規(guī)定每一模塊的功能和各模塊之間的接口,然后再將各模塊聯(lián)合起來調(diào)試,加深了我們對層次化設(shè)計的概念的認(rèn)識。從而提高了我獨立發(fā)現(xiàn)問題、分析問題、解決問題的能力,其次,我也明白了一個道理,任何一件事情,只有親自去做的時候才知道它到底難不難,有多難。參考文獻[1].《 EDA技術(shù)實用教程》潘松,黃繼業(yè).. 北京:科學(xué)出版社,2006[2].《 VHDL設(shè)計實例與仿真》姜雪松,吳鈺淳,王鷹等.. 北京:機械工業(yè)出版社,2007[3]. 《基于Quartus Ⅱ的FPGA/CPLD設(shè)計》李洪偉,袁斯華..北京:電子工業(yè)出版社,2006 21
點擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1