freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字集成電路驗(yàn)證方法學(xué)-在線瀏覽

2024-08-29 17:39本頁面
  

【正文】 紹 斷言 Assertion 示例 property p10。amp。 endproperty a10: assert property (p10)。 (posedge clock) start | req 2 (gnt==1 amp。 req==0)。 24 共 91頁 SystemVerilog介紹 功能覆蓋率 ? 功能覆蓋率 : 衡量哪些設(shè)計(jì)特征已經(jīng)被程序驗(yàn)證過的一個指標(biāo) ? 代碼覆蓋率 1. 語句 覆蓋 (StatementCoverage) 2. 判定覆蓋 (DecisionCoverage) 3. 條件覆蓋 (ConditionCoverage) 4. 路徑覆蓋 (PathCoverage) 25 共 91頁 語句覆蓋 ? 語句 覆蓋 (StatementCoverage): 度量被測代碼中每個可執(zhí)行語句是否被執(zhí)行到了 。 } TeseCase: a = 10, b = 5 語句覆蓋率: 100% 代碼的 bug: b=0 26 共 91頁 判定覆蓋 amp。 條件覆蓋 (ConditionCoverage): 度量判定中的每個子表達(dá)式結(jié)果 true和 false是否被測試到了。 // 分支一 } else { return 1。 int foo(int a, int b) { int nReturn = 0。 } if (b 10) {// 分支二 nReturn += 10。 } TestCase1 a = 5, b = 5 nReturn = 11 TestCase2 a = 15, b = 5 nReturn = 10 TestCase3 a = 5, b = 15 nReturn = 1 TestCase4 a = 15, b = 15 nReturn = 0 路徑覆蓋率: 100% 28 共 91頁 SystemVerilog介紹 功能覆蓋率 29 共 91頁 ? Functional Coverage ? covergroup:覆蓋率模型 ? coverpoint:覆蓋點(diǎn) ? sample():采樣函數(shù) ? bins: 倉 SystemVerilog介紹 功能覆蓋率 30 共 91頁 SystemVerilog介紹 功能覆蓋率 covergroup Covkind。 bins hi[] = {[8:$]}。 endgroup Covkind cov = new()。 31 共 91頁 SystemVerilog介紹 功能覆蓋率 covergroup group(posedge clk)。 // a為 1bit cb: coverpoint b。 endgroup group grp = new()。 32 共 91頁 靜態(tài)技術(shù) ? 語法檢查 用戶可以自由控制需要檢查的規(guī)則,如代碼風(fēng)格,可綜合檢查, DFT檢查。 ? 靜態(tài)時序分析 檢查建立、保持時間以及其他延時信息是否滿足設(shè)計(jì)時序要求。 ? 形式驗(yàn)證 ? 等價性檢查: 不考慮時序信息,通常用于驗(yàn)證兩個設(shè)計(jì)是否在功能上等效(有 golden design)。 ? 模型檢查: 驗(yàn)證設(shè)計(jì)的功能(沒有 golden design)。 34 共 91頁 一般來說,要分析或檢驗(yàn)一個電路設(shè)計(jì)的時序方面的特征有兩種主要手段:動態(tài)時序仿真( Dynamic Timing Simulation)和靜態(tài)時序分析( Static Timing Analysis) :利用仿真器和延遲文件,通過反標(biāo)節(jié)點(diǎn)延遲信息來仿真。 :分析每條時間路徑上的延遲,來查看是否存在setup/hold違反。 靜態(tài)時序分析 35 共 91頁 靜態(tài)時序分析 針對建立時間、保持時間的檢查,分為四種情況 ? 輸入端口到寄存器 ? 寄存器到寄存器 ? 寄存器到輸出 ? 輸入到輸出 針對周期個數(shù),分為: ? 單周期檢查 ? 多周期檢查 36 共 91頁 ? 所謂等價性檢查,就是通過比較兩個設(shè)計(jì)在邏輯功能是否等同的方法來驗(yàn)證電路的功能。是仿真的補(bǔ)充。 ? 失效模式 :主要包括短路、斷路以及參數(shù)退化和時序違規(guī)等 41 共 91頁 主要內(nèi)容 ? 驗(yàn)證的必要性 ? 驗(yàn)證方法學(xué)介紹 ? 驗(yàn)證工具介紹 ? 演示 42 共 91頁 如何利用 OVM完成驗(yàn)證? 43 共 91頁 基于 OVM的數(shù)字濾波器驗(yàn)證平臺 ? 數(shù)模轉(zhuǎn)化器( DAC)中的數(shù)字插值濾波器作為此驗(yàn)證平臺的 DUT ? 數(shù)字插值濾波器的功能: (帶寬 20KHz)噪聲 輸入信號 輸出信號 44 共 91頁 傳統(tǒng)的濾波器驗(yàn)證平臺仿真結(jié)果 ? 傳統(tǒng)的驗(yàn)證平臺:基于定向測試矢量 +波形查看的方式 45 共 91頁 傳統(tǒng)驗(yàn)證平臺沒找到 BUG的原因 基于 OVM的驗(yàn)證平臺 46 共 91頁 OVM驗(yàn)證平臺驗(yàn)證步驟 OVM庫完成平臺代碼 47 共 91頁 利用 OVM庫完成平臺代碼 ? 擴(kuò)展 OVM類 ? 逐層完成: 48 共 91頁 OVM平臺 接口 interface io_if()。 logic [17:0] data_o。 modport dut_if(input data_i, output data_o)。// 比較器模塊接口 Endinterface io_if my_io()。 dut dut(.io(my_io), .clock(clock), .rst(rst), .en(rst_check))。 49 共 91頁 OVM平臺 — 數(shù)據(jù)產(chǎn)生 class my_transaction extends ovm_transaction。 function new (string name = )。 endfunction: new //產(chǎn)生隨機(jī)事件的約束條件 constraint c_data_i { data_i = 0。 } virtual function void randomize_()。 1839。 endfunction `ovm_object_utils_begin(my_transaction)//在程序中 `ovm_field_int(data_i, OVM_ALL_ON + OVM_DEC) `ovm_object_utils_end endclass: my_transaction 50 共 91頁 OVM平臺 — 驅(qū)動器 class my_driver extends ovm_driver。//裝載虛擬接口 ovm_get_port (my_transaction) get_port。 (name, parent)。//在測試結(jié)果顯示此函數(shù)被調(diào)用 endfunction: new 51 共 91頁 function void build。 ovm_report_info(, Called my_driver::build)。//初始化 endfunction : build virtual task run。 forever begin my_transaction tx。//從通道中取一個事件 ovm_report_info(,$psprintf(data_i = %2h,))。 end endtask: run endclass: my_driver 52 共 91頁 OVM平臺 — 驗(yàn)證環(huán)境 class my_env extends ovm_env。//裝載激勵器 tlm_fifo (my_transaction) env_fifo。//裝載驅(qū)動器
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)教案相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1