freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda數(shù)字鐘設(shè)計-展示頁

2024-12-16 07:05本頁面
  

【正文】 計數(shù)器,數(shù)碼管, FPGA,VHDL 1. 設(shè)計思路 基于 VHDL語言,用 Top_Down的思想進行設(shè)計。更多論文 1 EDA數(shù)字鐘設(shè)計 更多論文 2 目錄 …………………………………………………………………………………… 3 ……………………………………………………………………………… ... 3 …………………………………………………………………………… 3 ……………………………………………………………… ... 3 ………………………………………………………………………… 3 ……………………………………………………………………… .. 3 …………………………………………………………………… .. 4 ……………………………………………………………………… 5 ………………………………………………………………………… 6 ……………………………………………………………… . 6 ………… …………………………………………………… .. 7 ……………………………………………………………… 8 ……………………………………………………………… .. 9 CNT23模塊的設(shè)計 ……………………………………………………………… .. 10 …………………………………………………………………………………… 11 ……………………………………………………………… …………… . 11 ……………………………………………………………………… ... 12 …………………………………………………………………………… 12 更多論文 3 vEDA數(shù)字鐘設(shè)計 中文摘要:數(shù)字鐘學習的目的是掌握各類計數(shù)器及它們相連的設(shè)計 方法;掌握多個數(shù)碼管顯示的原理與方法;掌握 FPGA技術(shù)的層次化設(shè)計方法;掌握用 VHDL 語言的設(shè)計思想以及整個數(shù)字系統(tǒng)的設(shè)計。此數(shù)字鐘具有時,分,秒計數(shù)顯示功能,以 24 小時為計數(shù)循環(huán);能實現(xiàn) 清零,調(diào)節(jié)小時,分鐘 以及整點報時的功能。 確定總體結(jié)構(gòu),如圖 11所示。 K4 模塊進行復位,設(shè)置小時和分,輸出整點報時信號和時,分,秒信號。 方案二也采用自頂向下的設(shè)計方法,它由秒計數(shù)模塊,分計數(shù)模塊,小時計數(shù)模塊,報警模塊,秒分時設(shè)置模塊和譯碼模塊六部分組成。 3. 單元模塊設(shè)計部分 單元模塊設(shè)計部分分四個部分,介紹數(shù)字鐘 選擇顯示數(shù)碼管和對應的數(shù)模塊 CN6,信號選擇模塊 SEL61,七段碼譯碼器模塊 DISP和復位,秒,分,時顯示,設(shè)置模塊。 如圖 12 更多論文 4 圖 12 library ieee。 use 。 cout : out std_logic_vector(2 downto 0))。 architecture rtl of 6 is signal q : std_logic_vector(2 downto 0)。039。 elsif(clk39。139。 else q=q+1。 end if。 cout=q。 SEL61模塊的設(shè)計 更多論文 5 即六選一選擇器,如圖 13所示,
點擊復制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1