freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl編程fpga的地鐵自動售票機設(shè)計說明書-展示頁

2025-05-19 18:59本頁面
  

【正文】 。 the flag of ten yuan paper money variable pmoney20y_f:std_logic。 the flag of one yuan paper money 4 variable pmoney2y_f:std_logic。 the mount of money put in variable coin1y_f:std_logic。 the flag of the stamp gate variable charge_alr:std_logic。 the flag of select platform type variable selectnum_alr:std_logic。 the univalence of the ticket variable total_money :integer range 0 to 21。 define six types signal state:state_type。 END metrosell。 stamp outgate 3 charge:out std_logic_vector(3 downto 0)。 to store the money acceptmo:out std_logic。 choose the number of the tickets platform:in std_logic_vector(3 downto 0)。 20 yuan paper money cancel:in std_logic。 5 yuan paper money pmoney10y:in std_logic。 1 yuan paper money pmoney2y:in std_logic。 this button is to save your forward step(s) coin1y:in std_logic。 set the clock signal startselect:in std_logic。 USE 。 USE 。 有六 個站臺可供乘客選擇,每個乘客最多可以買 3 張票,六個站臺編號為 1到 6,票價從 2 元依次遞增到 7。期間,可以按下取消鍵重新開始選擇,并退出所有的錢幣。 二、實驗分析 買票時,乘客按下開始鍵,售票機進入站臺選擇程序,乘客選擇出站口后,可以按取消鍵重新選擇,否則售票機自動進入票數(shù)選擇程序,同樣這時可以按下取消鍵重新開始選擇出站口以及票數(shù)。本次交易結(jié)束后,等待下一次交易。乘客可以連續(xù)多次投入錢幣。 1 地鐵自動售票機 一、設(shè)計要求 功能描述 用于模仿地鐵售票自動售票,完成地鐵售票的核心控制功能。 功能要求 售票機有兩個進幣孔,一個是輸入硬幣,識別的范圍是一元硬幣;一個是紙幣,識別的范圍是一元、兩元、五元、十元、二十元。乘客 一次只能選擇一個出站口,購買車票時,乘客先選出站口,有六個出站口可供選擇,再選擇所需的票數(shù),然后投幣,投入的錢幣達到或者超過所需金額時,售票機自 動出票,并找零。在選擇出站口、所需票數(shù)以及在投幣期間,乘 客可以按取消鍵取消操作,錢幣自動退出。 當選擇好出站口以及所需票數(shù)時,乘客可以投硬幣或者用紙幣,當所投的錢幣總額大于或者等于票價時,售票機自動出票以及找零。 乘客若還沒選擇出站口或者票數(shù),就投幣或者使用紙幣,售票機會自動退出所有的錢幣。 三、系統(tǒng)流程圖 四、程序源代碼 2 LIBRARY IEEE。 USE 。 ENTITY metrosell IS PORT( clk:in std_logic。 start to select the platform sure:in std_logic。 1 yuan coin pmoney1y:in std_logic。 2 yuan paper money pmoney5y:in std_logic。 10 yuan paper money pmoney20y:in std_logic。 cancel the forward step(s) number:in std_logic_vector(3 downto 0)。 choose the platform you want to reach moneystorage:out std_logic。 accept the money stamp:out std_logic。 the mount of charge,up to 15 yuan chargegate:out std_logic charge outgate )。 ARCHITECTURE sell OF metrosell IS type state_type is (initial_type,selectp_type,selectnum_type,insert_type,stamp_type,charge_type)。 define a shared state BEGIN main:process(clk,state,startselect,platform,number,coin1y,pmoney1y,pmoney2y,pmoney5y,pmoney10y,pmoney20y,cancel,sure) variable univalence :integer range 0 to 7。 the price of the ticket(s) variable selectp_alr:std_logic。 the flag of select number type variable stamp_alr:std_logic。 the flag of the charge gate variable money_reg:integer range 0 to 21。 the flag of one yuan coin variable pmoney1y_f:std_logic。 the flag of two yuan paper money variable pmoney10y_f:std_logic。 the flag of twelve yuan paper money variable pmoney5y_f:std_logic。 the register of charge begin if(rising_edge(clk)) then case state is when initial_type = initialize some variables univalence:=0。039。039。039。039。 total_money:=0。039。039。039。039。039。039。039。039。 charge=0000。039。039。139。 end if。039。039。selectp_alr:=39。 elsif(platform=0010)then univalence:=3。139。selectp_alr:=39。 elsif(platform=0100)then univalence:=5。139。selectp_alr:=39。 elsif(platform=0110)then univalence:=7。139。selectp_alr:=39。 else null。 elsif(selectp_alr=39。and cancel=39。)then state=initial_type。139。139。 6 end if。039。039。selectnum_alr:=39。 elsif(univalence=3)then total_money:=3。139。selectnum_alr:=39。 elsif(univalence=5)then total_money:=5。139。selectnum_alr:=39。 elsif(univalence=7)then total_money:=7。139。selectnum_alr:=39。 else null。 end if。selectnum_alr:=39。 elsif(u
點擊復制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1