freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda的高層電梯控制器設(shè)計(jì)-文庫吧資料

2025-07-02 15:47本頁面
  

【正文】 uplift) or (downlift/=downlift_d and position = downlift)) then opendoor =39。 or dr=39。 and (ur=39。 when pause = if((opentime=000 and openonce =39。139。 elsif(clk39。 openonce =39。 opendoor =39。039。039。139。039。139。 end process。 end if。 and position downlift) then drr= drr or downlift。 elsif(state = down or state = middown) then if(downlift/= downlift_d and dr=39。 and position uplift) then urr= urr or uplift。 elsif(state = up or state = midup) then if(uplift/= uplift_d and dr/=39。 end if。139。) then drr= drr or sel。 elsif(sel/=sel_d) then if(sel position and ur/=39。139。 and position uplift) then urr = urr or uplift。 elsif(uplift/= uplift_d and dr/=39。139。 and position uplift) then drr = drr or uplift。 if(uplift/= uplift_d and ur/=39。) then if(state = pause) then drr= drr and not(position)。event and clk=39。end process。 sel_d = sel。) then uplift_d= uplift。event and clk=39。end process。 end if。039。 num:= 0。) then if(num = 3) then clk1hz=39。event and clk4hz=39。U1:process(clk4hz)variable num:integer range 0 to 3。 end if。 t:= t+1。 else clk4hz= 39。139。139。 begin if(clk39。begin qout = position。signal openonce:std_logic。signal runtime:std_logic_vector(2 downto 0)。signal downlift_d:std_logic_vector(16 downto 1)。signal position:std_logic_vector(16 downto 1)。signal urr:std_logic_vector(16 downto 1)。signal clrrun:std_logic。signal enstop:std_logic。signal dr:std_logic。signal clk1hz:std_logic。signal state:statetype。end ponent。 en:in std_logic。 preset:in std_logic。end maincontroller。 qout: out std_logic_vector(16 downto 1)。 delay: in std_logic。 switch: in std_logic。use 。use 。最后我要感謝那些在我遇到問題時(shí)是幫助過我的老師和同學(xué)們??偠灾珽DA課程設(shè)計(jì)的高層電梯控制器還是比較成功的。這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,才能真正達(dá)到為我們的生活服務(wù)為社會(huì)服務(wù)的目的。在EDA課程設(shè)計(jì)的日子里,可以說是苦多于甜,不斷地發(fā)現(xiàn)問題,不斷地思考問題,并解決問題。雖然我遇到了很大的困難,但當(dāng)仿真出最終的波形時(shí),又讓我有一種成就感。圖6 分控制仿真波形4設(shè)計(jì)總結(jié)兩周的課程設(shè)計(jì),使我對(duì)EDA有了更多的了解。電梯從1層到達(dá)2層后停止,用戶進(jìn)入電梯后電梯到達(dá)5層后,該用戶出梯。按鍵請(qǐng)求到1層,電梯下降至1層,自動(dòng)開門,用戶出梯。圖5 主控制仿真波形之四如圖5所示,仿真的是電梯響應(yīng)延遲關(guān)門。用戶在電梯內(nèi)部按鍵來選擇自己要到達(dá)的樓層,假設(shè)按鍵有先后順序,即不是多用戶同一時(shí)間按鍵。如圖4所示,仿真的是電梯在1層,有2位用戶進(jìn)入,分別請(qǐng)求上升至5層和10層。到達(dá)10層后,自動(dòng)開門,請(qǐng)用戶出梯。圖2 主控制器仿真波形之一如圖2所示,仿真的使乘客由1層進(jìn)入電梯,在電梯內(nèi)部按鍵,請(qǐng)求上升至10層。3仿真分析對(duì)主控制器的仿真需要設(shè)計(jì)一些符合實(shí)際情況的假設(shè),比如在外部發(fā)出上升請(qǐng)求的乘客,進(jìn)入電梯內(nèi)部后一定會(huì)按高層的請(qǐng)求按鈕;在外部發(fā)出下降請(qǐng)求的乘客,進(jìn)入電梯內(nèi)部后一定會(huì)按低層的請(qǐng)求按鈕,而且乘客進(jìn)入電梯后必定會(huì)按鍵。在請(qǐng)求被執(zhí)行后,清除相應(yīng)信號(hào)。結(jié)構(gòu)體中有6個(gè)進(jìn)程,說明如下:第一個(gè)進(jìn)程對(duì)系統(tǒng)時(shí)鐘分頻得到運(yùn)行計(jì)時(shí)器時(shí)鐘;第二個(gè)進(jìn)程對(duì)運(yùn)行計(jì)時(shí)器時(shí)鐘分頻得到開門計(jì)時(shí)器時(shí)鐘;第三個(gè)進(jìn)程是延遲進(jìn)程,用于檢測信號(hào)跳變;第四個(gè)進(jìn)程是對(duì)上升/下降請(qǐng)求寄存器置位和清零;第五個(gè)進(jìn)程控制電梯運(yùn)行狀態(tài);第六個(gè)進(jìn)程記錄電梯位置變化及響應(yīng)提前、延遲關(guān)門請(qǐng)求。在主控制器的VHDL程
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1