【正文】
。 variable t_1:integer range 0 to 1000。 read_time: process(time) begin end process。 disp_time(1)=DATAIN(27 downto 24)+x30。 disp_time(3)=DATAIN(19 downto 16)+x30。 disp_time(5)=DATAIN(11 downto 8)+x30。 disp_time(7)=DATAIN(3 downto 0)+x30。 end if。139。039。 end if。)then t:=t+1。event and clk=39。 process(clk) variable t:integer range 0 to divide_to_100k。 constant data_buf2:data_buffer:= (xc7,xd8,xc5,xe5, 秦佩 xa1,xaa,xa1,xaa, —— xd1,xd4,xc5,xf4, 訚鵬 x20,x20,x20,x20)。 constant data_buf0:data_buffer:= (xc6,xb5,xc2,xca, 頻率 x20,x20,x20,x20, 空格 x20,x20,x20,x20, x20,x20,x20,x20)。 signal time:std_logic_vector(23 downto 0)。 type data_buffer is array(0 to 15)of 10 std_logic_vector(7 downto 0)。 type state is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10,s11,s12)。 signal clkout:std_logic。 end clock_lcd_disp。 rw,rs,e,lcd_rst:out std_logic。 port(clk:in std_logic。 use 。 use 。 end architecture a。 end if。 ttemp:=ttemp+1。039。139。event then if ttemp=49 then ttemp:=0。139。 architecture a of pin1mhz is begin process(clkin) variable ttemp: integer range 0 to 49。 clkout : out std_logic)。 9 use 。 ( 50MHZ 經(jīng)過 50分頻得到 1MHZ) library ieee。 U10: CNT10 PORT MAP(CLK=CARRY_OUT1(6),CLR=CLR_CNT1,ENA=TSTEN1, CQ=DTO1(31 DOWNTO 28))。 U8: CNT10 PORT MAP(CLK=CARRY_OUT1(4),CLR=CLR_CNT1,ENA=TSTEN1, CQ=DTO1(23 DOWNTO 20),CARRY_OUT=CARRY_OUT1(5))。 U6: CNT10 PORT MAP(CLK=CARRY_OUT1(2),CLR=CLR_CNT1,ENA=TSTEN1, CQ=DTO1(15 DOWNTO 12),CARRY_OUT=CARRY_OUT1(3))。 U4: CNT10 PORT MAP(CLK=CARRY_OUT1(0),CLR=CLR_CNT1,ENA=TSTEN1, CQ=DTO1(7 DOWNTO 4),CARRY_OUT=CARRY_OUT1(1))。 U2: REG32B PORT MAP(LOAD=LOAD1,DIN=DTO1,DOUT=DOUT)。 SIGNAL CARRY_OUT1:STD_LOGIC_VECTOR(6 DOWNTO 0)。 SIGNAL LOAD1,TSTEN1,CLR_CNT1:STD_LOGIC。 DOUT: OUT STD_LOGIC_VECTOR(31 DOWNTO 0))。 COMPONENT REG32B PORT(LOAD: IN STD_LOGIC。 CARRY_OUT:OUT STD_LOGIC)。 ENA: IN STD_LOGIC。 COMPONENT CNT10 PORT(CLK: IN STD_LOGIC。 LOAD: OUT STD_LOGIC)。 8 TSTEN: OUT STD_LOGIC。 END FREQ。 FSIN:IN STD_LOGIC。 USE 。 :是通過元件例化將各個功能模塊組合在一起的。 FREQ500K=TEMP(0)。 FREQ31250=TEMP(4)。 FREQ1953=TEMP(8)。 FREQ1=TEMP(19)。 END IF。 ELSE TEMP=TEMP+1。139。 BEGIN PROCESS(CLK) BEGIN IF CLK39。 END CNT。 FREQ125K :OUT STD_LOGIC。 FREQ7812 :OUT STD_LOGIC。 FREQ488 :OUT STD_LOGIC。 ENTITY CNT IS PORT(CLK :IN STD_LOGIC。 USE 。 最后將測得的頻率送到顯示部分顯示。因此直接測頻 法適合頻率較高的信號,不能滿足在整個測量頻段內(nèi)的測量進度保持不變的要求。=TX/T =1/FX..由此可知直接測頻法的測量準(zhǔn)確度與信號的頻率有