【正文】
end behave。 end if。 else y=y+1。139。 architecture behave of yanshi is begin process(clk) begin if(clk39。 y: buffer std_logic_vector(24 downto 0) )。use 。(10)yanshilibrary ieee。 end process。 else q=q1。139。END xuanze。 q2: in std_logic。ENTITY xuanze IS PORT ( a : IN STD_LOGIC。USE 。END xuanze_architecture。 end if。) then q=q2。ARCHITECTURE xuanze_architecture OF xuanze ISBEGIN process(a) begin if(a=39。 q : out STD_LOGIC )。 q1: in std_logic。use 。(8)xuanzeLIBRARY ieee。 end process。y0=0110000。y0=1111110。y0=1111011。y0=1111111。y0=1110000。y0=1011111。y0=1011011。y0=0110011。y0=1111001。y0=1101101。y0=0110000。y0=1111110。END xianshi。 y1 : OUT STD_LOGIC_VECTOR(6 downto 0)。use 。(8)xianshiLIBRARY ieee。 end process。 end if。) then if(q=11) then q=00。event and clk=39。END jishu2。ENTITY jishu2 IS PORT ( clk : IN STD_LOGIC。USE 。END jishu_architecture。 end if。 end if。 end if。 else q=q+1。 end if。) then if(q=0000) then q=1001。) then if(ud=39。event and clk=39。) then q=q。 else if(s=39。 q(1)=d1。) then q(3)=d3。ARCHITECTURE jishu_architecture OF jishu ISBEGIN process(ud,s,reset,clk) begin if(reset=39。 q : buffer STD_LOGIC_VECTOR(3 downto 0) )。 d3,d2,d1,d0 : IN std_logic。 s : IN STD_LOGIC。use 。(6)jishuLIBRARY ieee。 end process。 end if。) then if(q=1011) then q=1011。event and clk=39。) then q=0000。ARCHITECTURE jifen_architecture OF jifen ISBEGIN process(clk,reset) begin if(reset=39。 q : buffer STD_LOGIC_VECTOR(3 downto 0) )。ENTITY jifen IS PORT ( reset : IN STD_LOGIC。USE 。end behave。 end process。) then t1=t1+1。event and clk=39。 architecture behave of fenpin issignal t1: std_logic_vector(25 downto 0)。 clk1: out std_logic )。use 。(4)fenpinlibrary ieee。 end process。pianxuan=1110。pianxuan=1101。pianxuan=1011。pianxuan=0111。end dongtaixianshi2。 y: out std_logic_vector(6 downto 0)。entity dongtaixianshi2 is port ( a: in std_logic_vector(1 downto 0)。use 。end behave。 end if。 else y=y+1。139。 architecture behave of dongtaixianshi1 is begin process(clk) begin if(clk39。 y: buffer std_logic_vector(1 downto 0) )。use 。(2)dongtaixianshi1library ieee。 end process。 else q=q。039。ARCHITECTURE dchufaqi_architecture OF dchufaqi ISBEGIN process(clk) begin if(clk39。 q : buffer STD_LOGIC )。ENTITY dchufaqi IS PORT ( d : IN STD_LOGIC。USE 。(3)由調(diào)節(jié)晶振產(chǎn)生的時(shí)鐘脈沖信號(hào)的頻率,可以調(diào)節(jié)球的運(yùn)動(dòng)速度。y1[7]pin_49D207y1[6]pin_48D206y1[5]pin_47D20