freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl語言的多功能數(shù)字鐘設(shè)計(jì)畢業(yè)論文(參考版)

2025-07-01 00:40本頁面
  

【正文】 USE 。USE 。end xsettime_arch。end if。when others=null。d_out( 0 )=sec( 4)。d_out( 2 )=sec( 6 )。when 100=d_out( 3 )=39。d_out( 0 )=min( 4)。 41d_out( 2 )=min( 6 )。when 010=d_out( 3 )=39。d_out( 0 )=hour( 4)。039。039。sel=sel1。end if。event) thenif sel15 then sel1=sel1+1。139。sel1=000。 thensel =000。begin process (reset,sec,min,hour,clk,sel1)beginif reset=39。 40end xsettime。 sel:out std_logic_vector(2 downto 0)。 reset : in std_logic。 min:in std_logic_vector(6 downto 0)。USE 。USE 。end xhour_arch。end if。end if。end if。elseif hour=100011 thenhour=000000。event) thenif lcount =9 thenlcount=0000。139。hour=000000。039。alias hcount:std_logic_vector(1 downto 0)is hour(5 downto 4)。architecture xhour_arch of xhour issignal hour:std_logic_vector(5 downto 0)。hourout:out std_logic_vector(5 downto 0))。entity xhour is port (clkhour:in std_logic。USE 。( xhour)LIBRARY IEEE。end process。end if。039。 38elselcountm=lcountm+1。139。elsehcountm=000。ehour=39。event) thenif lcountm =9 thenlcountm=0000。139。 thenmin=0000000。beginif minl=39。process(clkmin,minl)alias lcountm: std_logic_vector(3 downto 0)is min (3 downto 0)。end if。end if。139。039。and clk39。if clk=39。139。039。minout=0000000。 thenenhour=39。beginprocess (reset,clk,min,sethour,ehour)beginif reset=39。signal ehour:std_logic。end xminute。 minout:out std_logic_vector(6 downto 0)。 sethour:in std_logic。entity xminute is port (clkmin:in std_logic。USE 。(xminute)LIBRARY IEEE。end process。end if。039。elselcount=lcount+1。139。elsehcount=000。emin=39。event) then if lcount =9 then lcount=0000。139。 then sec=0000000。beginif secl=39。process(clk,secl)alias lcount: std_logic_vector(3 downto 0)is sec (3 downto 0)。end if。end if。139。039。and clkset39。 35if clkset=39。039。139。secout=0000000。 thenenmin=39。begin process (reset,sec,emin,setmin,clkset)beginif reset=39。signal emin:std_logic。end xsecend。 secout:out std_logic_vector(6 downto 0)。 setmin:in std_logic。entity xsecend is port (clk:in std_logic。USE 。該畢業(yè)設(shè)計(jì)是我上大學(xué)以來第一次全面、系統(tǒng)地把所學(xué)的電子科學(xué)知識運(yùn)用到實(shí)踐當(dāng)中,感謝蔡老師的幫助和指導(dǎo),讓我順利完成了畢業(yè)設(shè)計(jì)!在此,再次對我敬愛的蔡老師表示由衷的謝意,同時也對設(shè)計(jì)過程中幫助我的其他老師和同學(xué)道聲謝謝! 32參考文獻(xiàn)[1] Verilog HDL(第 2 版)[M].北京:電子工業(yè)出版社,2022,9.[2] CPLD/FPGA 的 Verilog 設(shè)計(jì)[M].北京:機(jī)械工業(yè)出版社,2022,3.[3] 吳繼華、王誠 .Altera FPGA/CPLD 設(shè)計(jì)基礎(chǔ)編[M].北京: 人民郵電出版社,2022.[4] Michael .Verilog HDL 高級數(shù)字設(shè)計(jì)[M].北京: 電子工業(yè)出版社,2022. [5] (第一版)[M]. 中國科學(xué)技術(shù)出版社,2022. [6] (第三版)[M]. 高等教育出版社,1999. [7] (第一版)[M]. 清華大學(xué)出版社,2022. [8] (第一版)[M]. 西北工業(yè)大學(xué)出版社,2022. [9] (第一版)[M]. 國防工業(yè)出版社,2022. [10] 楊剛,——VHDL 與數(shù)字系統(tǒng)設(shè)計(jì) [M].北京: 電子工業(yè)出版社,2022.[11] Doulos.The VHDL Golden Reference Guide[M].A Handy Desktop Referee for VHDL Designers,1995: 210250.[12] J Bender W Gruhl D,Morlmoto N,et a1.Techniques for data hi.ding[J].IBM System Journal,1996(35):313336 . 33附錄 A:基于 CPLD 的多功能數(shù)字鐘電路圖Q19015Q29015Q39015Q49015Q59015Q69015 R4330R510KR610KR710KR810KR910KR1010KR171KR181KR191KR20RES2R21RES2R22RES2R23RES2R24510R2533KR281KR29RES2Q7850VCLED0LED1LED2LED3LED4LED5S1MODES2SETS3CHANGEVCVCVCMODESETCHANGEVCD9C1102C2102 VCVCVCTCKTDOTMSTDIT1TRANS1220V~Vin1GND2 Vout37805IC1C15104C13104+C11 2200UF+C1747UFTCK1END2TDO3VC4TMS5NC6NC7NC8TDI9GND10JTAG10絲絲絲JTAGD1LEDD2LEDD3LEDD4LEDI/OE2/GCLK22IN/GCLK183IN/OE184INPUT/GLCR1TCK62IO17IO28IO45IO57IO58IO60IO61IO63IO64IO65IO67IO68IO69IO70IO73IO74IO75IO76IO77IO79IO80IO81IO33IO34IO35IO36IO37IO39IO40IO41IO44IO6IO46IO48IO49IO50IO51IO52IO54IO55IO56IO8IO9IO10IO11IO12IO15IO16IO4IO18IO20IO21IO22IO24IO25IO27IO5IO29IO30IO31TDI14TDO71TMS23CPLDEPM7128SLC8415(84)LED0LED1LED2LED3LED4LED5TCKTDITDOTMS MODESETCHANGE基于CPLD的多功能數(shù)字鐘VacViVoVC1234絲絲ABCDEFGdb543210LED絲絲絲FUSE1絲絲絲BUZERGND2CLKOUT3NC1VC4絲絲絲絲 34附錄 B:基于 VHDL 語言的時、分、秒等電路的源碼( xsecond)LIBRARY IEEE。每當(dāng)我遇到了棘手的問題,蔡老師總能給我最好的建議,教我改進(jìn)的方法,不厭其煩地指出我在設(shè)計(jì)中存在的問題和錯誤,使我能更好地完成設(shè)計(jì)。 。 31致 謝能完成畢業(yè)設(shè)計(jì),離不開。畢業(yè)設(shè)計(jì)不僅讓我培養(yǎng)了嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度,更讓我領(lǐng)悟到很多人生的真諦。在設(shè)計(jì)過程中,正確的思路是極其重要的,只有你的設(shè)計(jì)思路是正確的,設(shè)計(jì)才有可能成功??梢哉f,該畢業(yè)設(shè)計(jì)是針對以前所學(xué)的知識進(jìn)行的一次綜合性的檢驗(yàn)。從理論設(shè)計(jì)嘗試,到仿真軟件仿真,再到確定具體方案。身為電子信息工程專業(yè)的學(xué)生,設(shè)計(jì)是我們必備的技能。根據(jù)dataout、ledselect 和 m 信號的輸出可以看出系統(tǒng)能夠正常地工作。sysclk 是頻率為 的時鐘信號。 圖 LED 顯示功能模塊的仿真波形( 1)圖 LED 顯示功能模塊的仿真波形(2) ,由圖可以看出,ledselect 寄存器是一個循環(huán)移位寄存器,在每個 displayclk 的時鐘下每次將 0 右移一位,在右移一位后將相應(yīng)的時間段碼寄存器的值賦予 dataout。顯示的思想是當(dāng) ledselect 的最高位為 0 時將 hour_msb(小時的十位的段碼)輸出,當(dāng)ledselect 的次高位為 0 時則輸出 hour_lsb(小時的個位的段碼) ,當(dāng) ledselect 的第三位為 0 時將 min_msb(分的十位的段碼)輸出,如此不斷循環(huán),掃描的頻率為 50hz。圖 在模式 1 下 7 段譯碼輸出結(jié)果 28 LED 顯示功能模塊的仿真波形LED 顯示功能模塊 Verilog HDL 編寫的思想是采用一個狀態(tài)機(jī)的方式進(jìn)行對掃描信號的輪流賦值,掃描信號是 ledselect,共六位輸出,每位接 LED 的位選端,因此當(dāng)ledselect 的輸出為全為 0 時 6 位 LED 全處于點(diǎn)亮狀態(tài),此時送出段碼后 6 位 LED 均顯示相同的數(shù)字(段碼均是一樣) 。根據(jù)圖可以看出在模式 1 下時,在每個 sysclk 時鐘上升沿的到來時將鬧鈴寄存器(ahour,amin)的值賦予譯碼寄存器( hour,min, sec 的值不考慮) ,而在下一個sysclk 的上升沿的到來時將譯碼寄存器的值進(jìn)行譯碼輸出。根據(jù)仿真波形圖可以看出設(shè)計(jì)是正確的。 hour_msb、hour_lsb、min_msb、min_lsb、sec_msb 、sec_lsb 是譯碼后的輸出結(jié)果。圖 是在模式 0 下 7 段譯碼輸出結(jié)果。圖 鬧鈴設(shè)置功能仿真波形圖(1)圖 鬧鈴設(shè)置功能仿真波形圖(2) 七段譯碼功能模塊的仿真波形由于時鐘計(jì)數(shù)模式采用的是 BCD 碼計(jì)數(shù)方式,而顯示采用的 8 段發(fā)光二極管,每個段代表一位二進(jìn)制數(shù),在本系統(tǒng)中采用的是共陽極接法,因此 7 段碼為共陽編碼。由圖 鬧鈴設(shè)置功能仿真波形圖(1)可以看出在每個信號上升沿對時間寄存器加 1。 25圖 模式選擇功能波形圖圖 模式選擇功能波形圖圖 模式選擇功能波形圖 鬧鈴設(shè)置功能模塊的仿真波形鬧鈴設(shè)置信號采用 ct2 和 ctb 信號進(jìn)行相加,在每個 ct2 信號的上升沿對 amin 進(jìn)行加 1,同樣在每個 ctb 信號的上升沿對 ahour 進(jìn)行加 1。 Count1 和 counta 是在模式 0 下時的按鍵寄存器,用于存儲 CH
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1