freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda技術(shù)實用教程-wenkub.com

2025-07-12 18:29 本頁面
   

【正文】 綜合器在綜合過程中將略去所設(shè)的延時值。 以下是三個賦值語句示例: x = 9 。 其中關(guān)鍵詞 TRANSPORT表示語句后的延時量為傳輸延時量, 雖然產(chǎn)生傳輸延時與固有延時的物理機制不一樣,但在行為仿真中, 傳輸延時與固有延時造成的延時效應(yīng)是一樣的 。 第 6章 VHDL設(shè)計進階 二、 傳輸延時 傳輸延時與固有延時的不同之處: 傳輸延時表達(dá)的是輸入與輸出之間的一種絕對延時,傳輸延時并不考慮信號持續(xù)的時間,它僅僅表示信號傳輸推遲或延遲了一個時間段。 在大多數(shù)情況下,這一固有延時量近似地反映了實際器件的行為。 當(dāng)信號的脈寬 ( 或信號的 持續(xù)時間 ) 小于器件的固有延時時,器件將對輸入的信號不作任何反應(yīng) (即有輸入而無輸出)。 【例 620】 第 6章 VHDL設(shè)計進階 圖 620 例 620的綜合結(jié)果 第 6章 VHDL設(shè)計進階 仿真延時 VHDL中有兩類延時模型能用于仿真建模,即固有延時和傳輸延時。 ELSE data_out = c。 END PROCESS p_a。039。 data_out : OUT BIT )。 PROCESS結(jié)構(gòu)中的順序語句,及其所謂的順序執(zhí)行過程只是相對于計算機中的軟件行為仿真的模擬過程而言的,這個過程與硬件結(jié)構(gòu)中實現(xiàn)的對應(yīng)的邏輯行為是不完全相同的。 ELSE output = 111 。) THEN output = 101 。039。 ELSIF (din(4)=39。) THEN output = 100 。039。 output : OUT STD_LOGIC_VECTOR(0 TO 2) )。 END example。 ELSE n := c。 output: OUT BOOLEAN)。 IF語句根據(jù)條件句產(chǎn)生的判斷結(jié)果是 ture或是false, 有條件地選擇執(zhí)行其后的順序語句。 end body_tri。) 。Z39。 architecture body_tri of tri is begin q = datain1 when ctl=00 else (others =39。 entity tri is port (ctl : in std_logic_vector(1 downto 0)。 END PROCESS。 ELSE output =(OTHERS = 39。Z39。)。 END IF 。 ARCHITECTURE multiple_drivers OF tristate2 IS BEGIN 第 6章 VHDL設(shè)計進階 PROCESS(enable,input3, input2, input1, input0 ) BEGIN IF enable = ―00‖ THEN output = input3 。 ENTITY tristate2 IS port ( input3, input2, input1, input0 : IN STD_LOGIC_VECTOR (7 DOWNTO 0)。 end body_tri。 q = ZZZZZZZZ。 end body_tri。) then x = q 。 x : out std_logic_vector(7 downto 0))。 use 。 第 6章 VHDL設(shè)計進階 二、雙向端口設(shè)計 用 INOUT模式設(shè)計雙向端口也必須考慮三態(tài)的使用,因為雙向端口的設(shè)計與三態(tài)端口的設(shè)計十分相似,都必須考慮端口的三態(tài)控制。 END bhv。 THEN dataout = datain 。 dataout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 第 6章 VHDL設(shè)計進階 【 例 613】 LIBRARY IEEE。 END body_mux4。 when 3 = q = i3。 end if。 end if。 begin muxval := 0。 ENTITY mux4 IS PORT (i0, i1, i2, i3, a, b : IN STD_LOGIC。 end process。 when 2 = q = i2。) then muxval = muxval + 2。) then muxval = muxval + 1。 ARCHITECTURE body_mux4 OF mux4 IS signal muxval : integer range 7 downto 0。 USE 。 END IF。139。 END 。 第 6章 VHDL設(shè)計進階 圖 67 例 68 的 RTL電路 第 6章 VHDL設(shè)計進階 【 例 69】 LIBRARY IEEE 。 Q1 =B。EVENT AND CLK = 39。 Q1 : OUT STD_LOGIC)。 END PROCESS。139。 END 。 THEN = D1 。 第 6章 VHDL設(shè)計進階 【 例 66】 . . . ARCHITECTURE bhv OF DFF3 IS SIGNAL : STD_LOGIC 。 END IF。 BEGIN IF CLK39。 ENTITY DFF3 IS PORT ( CLK,D1 : IN STD_LOGIC 。 從行為仿真和 VHDL語句功能上看 ,信號與變量具有比較明顯的區(qū)別,其差異主要表現(xiàn)在接受與保持信號的方式和信息保持與傳遞的區(qū)域大小上。 END PROCESS。 在進程中,可以允許同一信號有多個驅(qū)動源(賦值源),即在同一進程中存在多個同名的信號被賦值,其結(jié)果只有最后的賦值語句被啟動,并進行賦值操作。 信號的賦值語句表達(dá)式 為: 目標(biāo)信號名 = 表達(dá)式; 這里的“表達(dá)式”可以是一個運算表達(dá)式,也可以是數(shù)據(jù)對象(變量、信號或常量)。 信號初始值的設(shè)置不是必須的 ,而且初始值僅在 VHDL的行為仿真中有效。 運算表達(dá)式賦值, y也是實數(shù)變量 a := b a (0 TO 5) := b (2 TO 7)。 40 變量賦值語句左邊的目標(biāo)變量可以是單值變量,也可以是一個變量的集合,如位矢量類型的變量。 分別定義 a的取值范圍從 0到 15的整數(shù)型變量; d為標(biāo)準(zhǔn)位類型的變量。 變量不能將信息帶出對它作出定義的當(dāng)前結(jié)構(gòu)中。 常量的可視性規(guī)則 ——即常量的使用范圍取決于它被定義的位置。例如,將邏輯位的寬度定義為一個常量,只要修改這個常量就能很容易地改變寬度,從而改變硬件結(jié)構(gòu)。 END PROCESS。 ELSE REG8(6 DOWNTO 0) := REG8(7 DOWNTO 1)。139。 END SHFRT。 USE 。下例是用省略賦值操作符“(OTHERS=X) ”給 d2賦其他信號的值: d1= (1=e(3),3=e(5),OTHERS=e(1)。039。 VARIABLE a1: STD_LOGIC_VECTOR(15 DOWNTO 0)。 例如 a為變量,對其賦值可以寫為: a:=‘1’。 將計數(shù)值向端口輸出 END PROCESS。 計數(shù)大于 9,輸出進位信號 ELSE COUT = 39。 END IF。 允許計數(shù) ELSE CQI := (OTHERS =39。139。 【例 63】 第 6章 VHDL設(shè)計進階 ARCHITECTURE behav OF CNT10 IS BEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0)。 COUT : OUT STD_LOGIC )。 USE 。 ★ BUFFER模式: BUFFER表達(dá)特定端口的特定功能,并非是特定的端口結(jié)構(gòu)。 例 62中第三行使用語句 “ USE ”的目的就在于此—— 使用此程序包就是允許當(dāng)遇到例 62中的 +號時,調(diào)用 +號的 算符重載函數(shù)。 第 6章 VHDL設(shè)計進階 ( 3) 考慮到 VHDL不允許在不同數(shù)據(jù)類型的操作數(shù)之間進行直接操作或運算,而 Q1=Q1+1中數(shù)據(jù)傳輸符 =右邊加號的兩個操作數(shù)分屬不同的數(shù)據(jù)類型 : Q1( 邏輯矢量 ) +1( 整數(shù) ),不滿足算術(shù)符“ +”對應(yīng)的操作數(shù)必須是整數(shù)類型,且相加和也為整數(shù)類型的要求,因此必須 對 Q1=Q1+1中的加號賦予新的功能 ,使之允許不同數(shù)據(jù)類型的數(shù)據(jù)可以相加,且相加和為標(biāo)準(zhǔn)邏輯矢量。 ( 2) Q的端口模式是 OUT, 由于沒有輸入的端口模式特性,因此不能如例 61那樣直接用 Q=Q+1中。 END PROCESS 。139。 END 。 USE 。 如果對例 61的 Q定義為 NATURAL類型,綜合的結(jié)果不變,語句表達(dá)為: Q: BUFFER NATURAL RANGE 15 DOWNTO 0; 正整數(shù)類型 POSITIVE也是整數(shù)類型的一個子類型,它只比自然數(shù)類型 NATURAL少一個 0。
點擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1