freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

818電子琴程序設(shè)計(jì)與仿真-wenkub.com

2025-07-04 11:37 本頁面
   

【正文】 自動(dòng)演奏模塊仿真圖(注:由于輸入頻率太高,實(shí)驗(yàn)條件所限,如按源程序仿真將看不到輸出波形,因此將原脈沖的分頻點(diǎn)4000000和8000000改為4和8,得到如圖的仿真結(jié)果,在實(shí)際燒制芯片中不作此處理。 鍵盤輸入音符信號(hào)輸出end if。 2when 31= index0=00000010。 4when 27= index0=00001000。 2when 23= index0=00000010。 4when 19= index0=00001000。 1when 15= index0=00000001。 8when 11= index0=00000100。 5when 7 = index0=00100000。 3when 3 = index0=00000100。039。end if。event and clk2=39。end if。139。event and clk=39。 then count:=0。changesignal clk2:std_logic。 系統(tǒng)時(shí)鐘;鍵盤輸入/自動(dòng)演奏index2 : in std_logic_vector(7 downto 0)。use 。end Behavioral;(2) 數(shù)控分頻模塊程序仿真。039。139。event and fullspks=39。end process。fullspks=39。 thenif count11tone1 then count11:=count11+1。genspks:process(preclk,tone1) 此進(jìn)程按照tone1輸入的分頻系數(shù)對(duì)8MHz的脈沖再次分頻,得到所需要的音符頻率variable count11:integer range 0 to 30624。count:=0。if count=2 then preclk=39。beginif clk139。 音符分頻系數(shù)spks : out std_logic)。use 。功 能:實(shí)現(xiàn)數(shù)控分頻。end process。code=0000001。code=0000000。code=0001111。code=0100000。code=0100100。code=1001100。code=0000110。code=0010010。code=1001111。 音符顯示信號(hào)high : out std_logic。use 。(2)仿真。beginu0:automusic port map(clk=clk32MHZ,index2=index1,index0=indx,Auto=handtoAuto)。spks : out std_logic)。
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1