freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字式相位差測量儀的設計-wenkub.com

2025-06-27 01:51 本頁面
   

【正文】 這三個月的設計是對過去所學知識的系統(tǒng)提高和擴充的過程, 為今后的發(fā)展打下了良好的基礎。同時與同學的幫助是分不開的, 我由衷的感謝所有賦予我靈感和給予我力量的人們, 我當繼續(xù)努力, 不負眾望。end。u2: sjjc port map (ena=ena1,clkf=clkf1,clra=clra1,da=da1)。end ponent scxz。end ponent sjsc。end ponent kzxh。 ena : out std_logic。 da: buffer std_logic_vector(18 downto 0))。architecture art of szxwy is ponent fpq port(clk: in std_logic。 clkbb: in std_logic。use 。 end if。process(loada)isbegin if loada39。039。dataa,datab: out std_logic_vector(18 downto 0))。(5)數(shù)據(jù)鎖存模塊 sjsc的程序library ieee。 end if。 elsif rising_edge(clkf)then if ena = 39。end entity sjjc。use 。end if。139。 thencase rsel iswhen 39。data: out std_logic_vector(18 downto 0))。(3) 輸出選擇模塊scxz的程序library ieee。039。039。end process。loada = not cla。clkb = not clkbb。end entity kzxh。 ena : out std_logic。use 。clkf = cl。cl := 39。beginif rising_edge(clk) thenif temp =4thentemp := 0。 clkf: out std_logic)。(AD3)=4CHMOV R1,ADBDIVMB2B:MOV A,R1JNZ DIVM2BDEC R1 DJNZ R2,DIVMB2BSETB 0F0HRETDIVM2B:MOV R2,AD1MOV R1,ADBMOV R0,AD3DIVM2L:MOV A,R0 SUBB A,R1DEC R0DEC R1DJNZ R2,DIVM2LJNC DIVM20DIVM2D:LCALL SHIL1JC DIVM2SDIVM2C:MOV R0,AD3MOV R1,ADB MOV R2,AD1DIVM2CL: MOV A,R0SUBB A,R1DEC R0DEC R1DJNZ R2,DIVM2CLJC DIVM2EDIVM2S:INC ADAMOV R2,AD1MOV R0,AD3MOV R1,ADBLCALL SUBMBBDIVM2E:DJNZ R3,DIVM2DRETDIVM20:SETB 0F0HRET DB 02H,12HSHIL1:MOV R2,AD0MOV R0,ADASHIL1B:CLR CSHILL:MOV A,R0RLC AMOV R0,ADEC R0DJNZ R2,SHILLRETSUBMB:MOV R2,AD0 。(R2)=03HRL ARL ARL AMOV R3,A 。小數(shù)點亮JMP OKOKNONO:MOV A,BOKOK:MOV SBUF,ADL1:JNB TI,DL1 CLR TIINC R1 DJNZ R2,DISP1 POP DPL POP DPH POP PSW POP ACCRETNOP NOPNOPLJMP MIANTAB:DB 0C0H, 0F9H, 0A4H, 0B0H, 99H DB 92H, 82H, 0F8H, 80H, 90H DB 88H,83H,0C6H,0A1H,86H,8EH,9CH,0FFH,89HRETDELAY1:CLR ALAMOV R6,64H DELAY11:MOV R7,250DJNZ R7,$DJNZ R6,DELAY11SETB ALARETNOPNOPNOPLJMP MIANRETDELAY2:MOV R6,64HDELAY21:MOV R7,250DJNZ R7,$DJNZ R6,DELAY21RETNOPNOPNOPLJMP MIANRET 。防止程序跑飛 NOP NOPLJMP MIAN RETX3600:MOV 4DH,DATA33 ;裝相位差計算數(shù)據(jù)子程序 MOV 4EH,DATA2H MOV 4FH,DATA2L MOV 5DH,00H MOV 5EH,0EH MOV 5FH,10H RET NOP NOP NOP LJMP MIAN RET BCD_2BCD:NOP 。(4AH...4FH)/(5DH...5FH)=(4DH...4FH)ZHUNBEIZHOUQI: MOV 5FH,DATALMOV 5EH,DATAHMOV 5DH,DATA3MOV 4FH,80H 。裝入被測周期時間MOV 5EH,DATAHMOV 5DH,DATA3LCALL DIVD1 。讀fpga數(shù)據(jù) LCALL ZHUNBEIZHOUQI 。參考文獻[1] 譚會生,瞿遂. EDA 技術綜合應用實例與分析[M]. 西安:西安電子技大學出版,2004.[2] 譚會生. EDA 技術及應用[J].株洲工學院學報,2001,(5):7889.[3] 王樹昆,胡光魯,趙曉巍,王樹民. EDA仿真環(huán)境的研究與應用[J]. 山東省青年管理干部學院學報, 2006,(1):231245.[4] 潘松. CPLD/FPGA在電子設計中的應用前景[J].電子技術應用, 1999,(7):7882.[5] 陳碩,吳娜,余吉,朱茂鎰. 2003年全國大學生電子設計競賽一等獎 低頻數(shù)字式相位測量儀(C題)[J]. 電子世界, 2004,(4):221234.[6] 凌玉華.單片機原理及應用系統(tǒng)設計[M].長沙:中南大學出版社,.[7] 陳光禹.現(xiàn)代電子測試技術[M] .北京:國防工業(yè)出版社,2000. [8]白躍彬,盧華斌,韓慶綿,[J].微機發(fā)展, 1996,(6):6772.[9] characteristics of phase measurement errors caused by harmonic noise[J].Measurement Techniques,1992,35(2):207210. [10] filtration in phase measurements[J]. Measurement Techniques , 2003,46(7):710712. [11]Ryohei Hanayama,Kenichi,Hibino,Shinichi Warisawa and Mamoru Mitsuishi. Phase Measurement Algorithm in Wavelength Scanned Fizeau Interferometer [J]. Optical Review, 2004, 11(5):337343. [12]Soo Hwan Choi. A Implementation of Wireless Sensor Network for Security System using Bluetooth[J]. IEEE Transactions on Consumer Electronics, 2004,50(1):106108.[13] Harris R ,Chaberies D ,Bishop F A. A Variable Step (VS) Adaptive Filter Algorithm [J] . IEEE Trans. On Acoust , Speech ,Signal Processing ,1986 ,34 (2) :309 316.[14] 侯伯亨,[M].西安電子科技大學出版社,1997[15] 常青,[M].北京國防工業(yè)出版社,1998附錄一:元器件清單器件要求數(shù)量單 片 機89C51一片;晶 振12M Hz一只電 容30pf瓷片電容兩只10uF電解電容 一只數(shù) 碼 管共陽極八只按 鈕 開關無三個電 阻10k電位器 一只510二只100二只10k四只75k二只36k二只排 阻1k一只10 k一只導線及插線無若干焊錫絲若干通用板無兩塊芯片74LS164八只LM339一只附錄二:程序清單DATAH EQU 40H 。這次畢業(yè)設計將我們在大學四年里所學的知識聯(lián)貫復習一遍,使我將知識在應用中更加深刻地理解和掌握,更重要的是,它為我能將各學科相互聯(lián)系和結合應用打下了一定的基礎,同時更深刻理解了嚴謹?shù)目茖W學習態(tài)度是設計的根本,并鍛煉了我們查閱文獻的能力。在實驗過程中,我自制了整形電路、顯示電路和按鍵等,力求精度更高,并且進行了多組數(shù)據(jù)的分析和總結,最終得到了相對滿意的效果。結論本次畢業(yè)設計的內(nèi)容是數(shù)字式相位差測量儀的設計。在調(diào)試的時候要注意各個信號及各個模塊的“共地” ,本次調(diào)試過程中,就因為輸入信號與后面的電路未“共地”,導致后面的電路無法判別檢測信號,單片機接受不到正確的輸入數(shù)據(jù)信號。按鍵的時間也要延長。但經(jīng)認真研究分析,都一一解決。在其中仍采用自上而下的設計方法、自底向上的實現(xiàn)和調(diào)試方法:先按照流程圖的指示編寫各個子程序,然后進行編譯、調(diào)試,在設置的觀察窗口中觀察結果,直到結果完全正確為止;在各個子程序都正確之后,最后采用對子程序進行調(diào)用的方式進行主程序的編寫,當然也要根據(jù)流程圖的指示,還要根據(jù)需要,在程序中加一些賦值語句。(4)在打開工程文件時,由于操作不正確而打不開文件。圖49 引腳鎖定圖 下載的流程按照Quartus使用方法中的步驟。,則兩信號同相。圖46 總體系統(tǒng)szxwy在兩輸入信號同相時的仿真波形圖b. clkaa滯后如圖47所示,當clkaa滯后時.,相位差計數(shù)值和周期計數(shù)值不一樣。 完全符合當初的預期成果。完全符合當初的預期成果。在編寫完各個模塊之后,進行各個模塊的單獨編譯調(diào)試仿真。而在區(qū)開辟一個顯示緩沖區(qū),它對應各位數(shù)碼管0—7要顯示的內(nèi)容。其程序流程圖如圖34所示。根據(jù)流程圖的指示,先選通信號的周期時間,打開閘門FPGA釋放數(shù)據(jù),P0口、P2口、P1口分別讀入數(shù)據(jù)并送入周期數(shù)據(jù)緩存區(qū),關閉閘門,禁止釋放數(shù)據(jù);再選通兩信號的時間差數(shù)據(jù),打開閘門FPGA釋放數(shù)據(jù),P0口、P2口、P1口分別讀入數(shù)據(jù)并送入時間差數(shù)據(jù)緩存區(qū),關閉閘門,禁止釋放數(shù)據(jù)。圖31主程序流程圖單片機在獲取FPGA的數(shù)據(jù)時,開始的是一般的讀取命令MOV指令,分別從單片機的P0口、P2口、P1口的低3位讀入數(shù)據(jù),組合為一個19位的二進制數(shù)據(jù),、。單片機數(shù)據(jù)運算控制電路的工作過程也是編輯主程序流程圖以及相應程序的參考依據(jù):根據(jù)此依據(jù), 可以畫出單片機數(shù)據(jù)運算控制電路進行數(shù)據(jù)運算及相應的控制過程的主程序流程圖。 軟件設計思路 在硬件設計完成之后,根據(jù)硬件的設計進行軟件設計是一項重要的工作。 單片機數(shù)據(jù)運算控制電路的程序設計 數(shù)據(jù)處理的技巧分析,F(xiàn)PGA在10MHz數(shù)據(jù)采集信號作用下對待測信號周期計數(shù),并對兩個同頻正弦信號的相位差所對應的時間差進行計數(shù),分別得到19位數(shù)字量。在確定了FPGA數(shù)據(jù)采集電路可分為五個模塊,它們分別是:時鐘分頻模塊FPQ,測量控制信號發(fā)生模塊KZXH,被測信號有關時間檢測模塊SJJC,數(shù)據(jù)鎖存模塊SJSC和輸出選擇模塊SCXZ。在對一個設計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設計就可以直接調(diào)用這個實體。1987年底,VHDL被IEEE和美國國防部確認為標準硬件描
點擊復制文檔內(nèi)容
醫(yī)療健康相關推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1