freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

fpga多功能電子鐘畢業(yè)論文-wenkub.com

2025-06-25 08:13 本頁(yè)面
   

【正文】 when 2056=Q_1=X0eA54f 。 when 2052=Q_1=X8497C1 。 when 2048=Q_1=X06d24e 。 when 2044=Q_1=X7A4BBe 。 when 2040=Q_1=X0B5A4C 。 when 2036=Q_1=X6d25BC。 when 2032=Q_1=X096d4B。 when 2028=Q_1=X5e933A 。 when 2024=Q_1=X04B64A。 when 2020=Q_1=X474AB9。 when 2016 =Q_1=X0A5B48 。variable mmday12:STD_LOGIC_VECTOR(8 DOWNTO 0)。 variable j:std_logic_vector(8 downto 0)。variable x:std_logic_vector(8 downto 0)。Signal 1:std_logic_vector( 8downto 0)。 chu1:out std_logic)。 Tyear : out integer range 2014 to 2099。 month1:in std_logic_vector(8 downto 0)。 USE IEEE. 。qty4=qy。end process。) then if(qy=2099) then qy=2015。 then qy=2015。 end year。 qty2: out integer range 2015 to 2099。use 。end yue。qtm2=qm。 end if。139。event and clkm=39。begin process(clkm,setm) begin if setm=39。 qtm5: out integer range 1 to 12。 qtm1: out integer range 1 to 12。USE 。 end process。 qtd1=qd。039。 clk2=39。 clk2=39。 elsif monthin=2 then 非閏年小月28天 if(qd=28) then qd=1。 elsif qd31 then qd=qd+1。 end if。 elsif qd30 then qd=qd+1。 end if。139。039。 clk2=39。 elsif (clkd39。architecture ri of day issignal qd:integer range 1 to 31。 qtd3: out std_logic_vector(8 downto 0)。 yearin: in integer range 2015 to 2099。USE 。qth3=qh。end process。139。小時(shí)計(jì)數(shù) end if。139。event and clkh=39。begin process(clkh,seth) begin if seth=39。輸出作為天模塊的時(shí)鐘信號(hào) hx: out integer range 0 to 23。鬧鈴調(diào)制信號(hào) qth1: out integer range 0 to 23 。use 。qtmn3=qmn。end process。139。 end if。139。event and clkmn=39。begin process(clkmn,setmn) begin if setmn=39。 mnx: out integer range 0 to 59 。 qtmn1: out integer range 0 to 59。use 。qts2=qs。秒計(jì)數(shù) end if。139。event and clks=39。begin process(clks,sets) begin if sets=39。輸出時(shí)間 qts2: out integer range 0 to 59。use 。 END PROCESS。039。 允許計(jì)數(shù), 檢測(cè)是否小于13500000 ELSIF CQI49999999 THEN COUT=39。139。 END Fp50。USE 。由圖43可以知道,當(dāng)前不僅存在閏年的提醒,還存在某個(gè)功能的提醒,在圖片上可以看出,左起的第12個(gè)LED燈亮,剛好對(duì)應(yīng)傳統(tǒng)節(jié)假日的端午節(jié)提醒管腳,再?gòu)腖CD上可以看出當(dāng)前的農(nóng)歷月日剛好是五月初五,與傳統(tǒng)節(jié)假日對(duì)應(yīng),所以可以看出該電子鐘有傳統(tǒng)節(jié)假日提醒的功能。由圖39可以知道,當(dāng)時(shí)間到達(dá)12:33后,右邊的一個(gè)紅色LED燈亮,對(duì)應(yīng)于綁定的管腳是oLEDR17管腳,又由于之前設(shè)定的鬧鈴時(shí)間為12:33,從而可以判定該電子鐘有鬧鈴提醒的功能。年月日的LCD顯示輸出以及數(shù)碼管的點(diǎn)顯示如下圖:數(shù)碼管的點(diǎn)控制顯示(滅)LCD的驅(qū)動(dòng)顯示 圖35 電子鐘LCD顯示波形仿真 由圖35可以知道,LCD的驅(qū)動(dòng)顯示符合驅(qū)動(dòng)要求的條件,同時(shí)不需要數(shù)碼管的點(diǎn)顯示。、頂層模塊 該模塊是通過例化語(yǔ)句,把以上的各個(gè)模塊組合到一起,最終形成了一個(gè)具有多功能的電子鐘,并且可以滿足設(shè)計(jì)要求的各個(gè)指標(biāo),實(shí)驗(yàn)最終結(jié)果可以在以下的仿真波形以及時(shí)鐘驗(yàn)證圖形看出。這些過程都是通過en的高脈沖來(lái)驅(qū)動(dòng)顯示的,所以需要設(shè)定LCD的時(shí)鐘晶振,為使LCD能顯示,必須保證lcdon和lcdblon都處在高電平。其顯示字符格式已經(jīng)在七段數(shù)碼管和LCD顯示模塊中陳述。圖28管腳說(shuō)明如下:close_timeset:控制關(guān)機(jī)信號(hào)Hour_set:設(shè)定的關(guān)機(jī)小時(shí)Minute_set:設(shè)定的關(guān)機(jī)分Hour_current:當(dāng)前的小時(shí)信號(hào)Minute_current:當(dāng)前的分鐘信號(hào)輸入的Play_1LED1到ring_play1:受控制的輸出信號(hào)輸出的Play_1LED2到ring_play2:最終輸出的 顯示信號(hào) 圖28 關(guān)機(jī)結(jié)構(gòu) 該模塊仿真波形如下: 圖29 關(guān)機(jī)波形仿真 根據(jù)以上 圖29的仿真波形可以知道,當(dāng)前時(shí)間12:03分到來(lái)時(shí),對(duì)應(yīng)是輸出,比如數(shù)碼管num1~num6都輸出11111111,LED的play_1LED2(傳統(tǒng)節(jié)假日)、play_2LED2(節(jié)氣)、ring_play(閏年鬧鈴)都輸出0,LCD_rs1輸出0(對(duì)1602LCD寫命令),LCD_Data輸出00000001(清屏命令)。 24節(jié)氣提醒模塊 在該模塊中,運(yùn)用了判斷24節(jié)氣的運(yùn)用公式,即日期=[Y*D+C]L,其中Y是年數(shù)的后兩位,L等于閏年數(shù)(當(dāng)年是閏年并且小于等于二月時(shí)L=Y/41,否則L=Y/4),C對(duì)應(yīng)的是對(duì)于不同年份對(duì)應(yīng)不同氣節(jié)的因子其中(冬至日C=,小寒C=,大寒C=,立春C=,雨水C=,驚蟄C=,春風(fēng)C=,清明C=、谷雨C=,立夏日C=,小滿C=,芒種C=,夏至C=,小暑C=,大暑C=,立秋C=,處暑C=,白露C=,秋分C=,寒露C=,霜降C=,立冬C=,小雪C==)。、傳統(tǒng)節(jié)假日提醒模塊我們知道中國(guó)的大部分傳統(tǒng)節(jié)日一般以農(nóng)歷為對(duì)應(yīng)固定時(shí)間,比如春節(jié)在一月初一,元宵在一月初十五,端午在五月初五,七夕在七月初七,中原節(jié)在七月十五,重陽(yáng)節(jié)在九月九等,但也有部分節(jié)日是不固定的,比如清明節(jié),冬至,和除夕。圖20管腳說(shuō)明如下:s1:秒輸入(整型)Mn1:分輸入h1:小時(shí)輸入D1:小時(shí)輸入M1:月份輸入Y1:年份輸入Tday11:農(nóng)歷天輸入Tmonth11:農(nóng)歷月份輸入Tyear11:農(nóng)歷年份輸入A1,a2:分別是秒的個(gè)位,秒的十位B1,b2:分別是分的個(gè)位,分的十位C1,c2:分別是小時(shí)的個(gè)位,小時(shí)的十位E1,e2:分別是天的個(gè)位,天的十位F1,f2:分別是月份的個(gè)位,月份的十位G1,g2,g3,g4:表示年的個(gè)位,十位,百位,千位H_1,h_2:分別表示農(nóng)歷天的個(gè)位,天的十位 圖20 譯碼結(jié)構(gòu)I1,i2:分別為農(nóng)歷月的個(gè)位,月的十位J1,j2,j3,j4:分別表示農(nóng)歷年的個(gè)位,十位,百位,千位其波形仿真如下 圖21 譯碼波形仿真 由以上圖21的波形仿真可以知道,每一個(gè)年月日,時(shí)分秒的參數(shù)都會(huì)拆分成一個(gè)單獨(dú)的數(shù),有兩位就被拆成兩個(gè)數(shù),有四位的就被拆成4個(gè)單獨(dú)的數(shù),因此可以看出,數(shù)的轉(zhuǎn)換是正確的。閏年,鬧鈴提醒elsif ((run rem 4 /=0) and mn1a=mn2a and h1a=h2a ) then ring=01。 鬧鈴閏年模塊 鬧鈴模塊是通過設(shè)置的鬧鈴時(shí)間和當(dāng)前時(shí)間進(jìn)行對(duì)照,進(jìn)而判斷是否有鬧鈴提醒,即如果當(dāng)前時(shí)間和設(shè)置的鬧鈴時(shí)間一致,對(duì)應(yīng)輸出就會(huì)置1,否則為0;在這個(gè)模塊中還存在閏年的提醒功能,如果輸入的年份run是一個(gè)閏年,那么對(duì)應(yīng)出就會(huì)置1,否則為0。圖16管腳如下:year1:輸入的陽(yáng)歷年份Month1:輸入的陽(yáng)歷月份Day1:輸入的陽(yáng)歷日期Tyear、tyear_1:輸出農(nóng)歷年份Tmonth、tmonth_1::輸出的農(nóng)歷月份Tday、Tday_:輸出的農(nóng)歷日期Chu1:除夕的信號(hào)提醒,作為傳統(tǒng)節(jié)日提醒 圖16 陽(yáng)歷轉(zhuǎn)陰歷結(jié)構(gòu) 模塊的輸入信號(hào)其仿真波形如下: 圖17 陽(yáng)歷轉(zhuǎn)陰歷仿真由以上圖17的波形仿真圖可以知道,陽(yáng)歷相對(duì)應(yīng)的陰歷日期,可以通過手機(jī)或者掛歷進(jìn)行對(duì)照,發(fā)現(xiàn)仿真出來(lái)的農(nóng)歷日期和掛歷上農(nóng)歷日期是一致的。當(dāng)前月份是閏月,則加上該閏月天數(shù)mday1if day12day11 then exit L1。day12:=day12+000011101+x。L1:while (i8) loopi:=i1。end if。Tday=mmday12+mmday11day13+1。Tday=mmday12+mmday13day13+1。對(duì)應(yīng)的農(nóng)歷月份輸出Tday=mmday12day13+1。核心代碼如下:節(jié)前if (month11)or (month1=1 and day1) then春節(jié)前 Tyear=year11。其中,高四位表示當(dāng)年閏年的月份,如果為“0000”,表示沒有閏月;第19位到第8位分別對(duì)應(yīng)的是農(nóng)歷1月到12月的大小月,如果對(duì)應(yīng)的位數(shù)為0,則表示當(dāng)月是小月29天,否則為大月30天;第7位表示的是閏月份的大小月;第6到第5位表示的是當(dāng)年春節(jié)對(duì)應(yīng)的月份;最后低五位表示的當(dāng)年春節(jié)對(duì)應(yīng)公歷的日期。圖12管腳說(shuō)明如下:其中clkm:時(shí)鐘信號(hào)Setm:校時(shí)設(shè)置信號(hào)Alarmm:鬧鈴校時(shí)設(shè)置信號(hào)Clks4:進(jìn)位信號(hào)QtmQtmQtmQtmQtm5:輸出的月份時(shí)鐘其仿真波形如下: 圖12 月計(jì)數(shù)結(jié)構(gòu) 圖13 月模塊計(jì)數(shù)仿真 由以上圖13波形圖可以知道,初始設(shè)置是5月份,當(dāng)計(jì)數(shù)到12后返回1,符合月份的規(guī)律要求,并且計(jì)數(shù)到12后,產(chǎn)生一個(gè)進(jìn)位作為年模塊的時(shí)鐘輸入。039。clk2=39。 clk2=39。 elsif monthin=2 then 非閏年小月28天 if(qd=28) then qd=1。 elsif qd31 then qd=qd+1。 end if。當(dāng)前為中月30天 elsif qd30 then qd=qd+1。 end if。139。039。clk2=39。核心代碼: elsif (clkd39。代碼描述見附錄小時(shí)模塊。詳細(xì)代碼描述見附錄。 輸出分模塊時(shí)鐘信號(hào) elsif qs59 then qs=qs+1。139。 then
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1