freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

crt字符控制器設(shè)計(jì)畢業(yè)設(shè)計(jì)-wenkub.com

2025-05-15 15:30 本頁(yè)面
   

【正文】 htin : in std_logic_vector(9 downto 0)。 end ponent。 end process。 end if。 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 21 頁(yè) 共 36 頁(yè) 接著由行列像素計(jì)數(shù)器 對(duì)所要顯示的區(qū)域進(jìn)行控制,在顯示區(qū)域范圍內(nèi)對(duì) Lpm_Rom模塊地址每次加一操作,傳輸相應(yīng)數(shù)據(jù)信息,而不在 顯示區(qū)域范圍內(nèi)的則賦其他值,作為背景顏色。 HanziMode 模塊的描述 該模塊 首先 采用了 FPGA 內(nèi)部的 Lpm_Rom 模塊存儲(chǔ)漢字字模信息 ,聲明如下: ponent data_rom LPM_ROM for hanzimode port (address: in std_logic_vector (11 downto 0)。 else counter1 = counter1 + 1。 50MHz 分頻到 100Hz 和 1Hz 思路一致,設(shè)置計(jì)數(shù)器,當(dāng)計(jì)數(shù)到 max1=24999999 輸出波形即可分頻 1Hz。 25MHz 分頻描述如下,從 50MHz 分頻到 25MHz 分頻只要檢測(cè)上升沿即可。 end if。 else vt = (others = 39。 end if。 else ht = (others = 39。 行列像素計(jì)數(shù)值是顏色和圖形產(chǎn)生和控制的基礎(chǔ)。 a sub module which can generate several substates end if。 end if。 end if。 S0 對(duì)應(yīng) 調(diào)用 HanziMode 模塊得到 漢字顏色信息 的描述 如下: process(clk25m) state s0 process: hanzi colors begin if(rising_edge(clk25m)) then colors0 = hanzicolors。 end if。 else henable = 39。 process(clk25m) no color when not in 640*480 begin 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 18 頁(yè) 共 36 頁(yè) if(rising_edge(clk25m)) then if((ht 640) or (vt 480)) then henable = 39。 值得說(shuō)明的是,由于時(shí)鐘誤差在所難免, VGA 標(biāo)準(zhǔn)實(shí)現(xiàn)時(shí)像素的計(jì)數(shù)要求并非一個(gè)像素點(diǎn)都不能差,微小誤差是可以接受的。 else vsync = 39。 類(lèi)似 行 掃描狀態(tài)轉(zhuǎn) 移圖 , 列 掃描狀態(tài)轉(zhuǎn) 移圖 如下: 圖 8 VGA列 掃描狀態(tài)轉(zhuǎn) 移圖 列同步信號(hào)產(chǎn)生描述如下。139。行同步區(qū)定義在 656~ 752 像素之間。 VGA 掃描顯示的原理關(guān)鍵點(diǎn)在于同步信號(hào)和消隱 信號(hào)的產(chǎn)生。 end if。 when s1 = colorstmp = colors1。 end if。 when 01 = state = s1。 type states is (s0,s1,s2,s3)。 QuartusII 生成的電路圖和 一致,設(shè)計(jì)是正確的。 vgaemode_port_map: vgasmode port map(clk25m = clk25m, clk1hz = clk1hz, hen = hen ,ven = ven, colors0 = colors0,colors1 = colors1,colors2 = colors2,colors3 = colors3,colors = colors,sw = sw(1 downto 0))。 (r0,r1,r2,r3,r4,g0,g1,g2,g3,g4,b0,b1,b2,b3,b4,b5) = colors。 end vgapackage。 ponent imagemode imagemode for user or each mode port (clk25m,clk100hz: in std_logic。 ponent hanzimode hanzimode for user or each mode port (clk25m: in std_logic。 hpos,vpos: in std_logic_vector(9 downto 0)。 hpos1,vpos1 : in std_logic_vector(9 downto 0)。 ponent vgacolor Generate colors for each mode port (clk25m,clk100hz,clk1hz: in std_logic。 ponent vgasig Define vag signal interface as a module port (clk25m: in std_logic。 hen,ven: in std_logic。 htout,vtout : out std_logic_vector(9 downto 0))。 package vgapackage is ponent clock System clock generator port (clk50m: in std_logic。 hs,vs,r0,r1,r2,r3,r4,g0,g1: out std_logic。 sw0,sw1,sw2,sw3,sw4,sw5,sw6,sw7: in std_logic。只是修改了該子模式就完全實(shí)現(xiàn)了系統(tǒng)設(shè)計(jì)目標(biāo)。這樣,整個(gè)系統(tǒng)框架就搭建好了,描述也已完整,只要分別去實(shí)現(xiàn)各個(gè)模塊的接口定義就可以了。 系統(tǒng)硬件語(yǔ)言描述 描述思路 第二部分“系統(tǒng)設(shè)計(jì)”中對(duì)系統(tǒng)的功能 結(jié)構(gòu)、狀態(tài)機(jī)和狀態(tài)轉(zhuǎn)移以及模塊劃分都有了詳細(xì)的敘述,模塊間的耦合和信號(hào)的傳遞關(guān)系已經(jīng)清晰。 實(shí)現(xiàn)思路也是很明確的,即判斷按鍵是上下左右中的哪個(gè),然后做相應(yīng)的運(yùn)動(dòng),使 hmov 和 vmov 對(duì)應(yīng)改變即可。 子模式 US1是反彈 球 模式 ,即 固定圖形 或字符 在矩形屏幕做內(nèi)直線運(yùn)動(dòng),到邊界后沿反射角方向反彈,周而復(fù)始; 此種運(yùn)動(dòng)的關(guān)鍵點(diǎn)在于到達(dá)左右邊界時(shí)使得 hmov 反向,到達(dá)上下邊界時(shí)使得 vmov 反向。當(dāng)需要色塊運(yùn)動(dòng)時(shí),只要改變 二維變量( hmov, vmov)值即可實(shí)現(xiàn)橫向和縱向的運(yùn)動(dòng)。狀態(tài)轉(zhuǎn)移圖如圖 5所示。完成系統(tǒng)拓展部分 中用戶(hù)模式下的 3 種子模式。 count_tempv(5 downto 0)和count_temph(5 downto 0)同理可以理解其含義。 漢字 模式 和圖像模式 均 以圖片形式嵌入 ,其實(shí)現(xiàn)思路 大體 一致,差別僅僅在于 圖像模式多了動(dòng)態(tài) 顯示的功能,其控制相對(duì)復(fù)雜一些, 以下通過(guò)一段關(guān)鍵代碼詳細(xì)講解其實(shí)現(xiàn)原理。 在 漢字模式下,主要是 先 將多個(gè)一定大小字體的漢字以掃描圖片 的方式取模, 生成mif 文件供 Lpm_Rom 使用,由 VGAColor 模塊傳送過(guò)來(lái)的 hpos(行 計(jì)數(shù)器值 )、 vpos(列 計(jì)數(shù)器值 )及相關(guān)控制信號(hào)計(jì)算出正確的內(nèi)存地址 romaddr, 再 從 rom 中取出所需要的像素點(diǎn)的三基色數(shù)據(jù)。 輸出: S0、 S S S3 狀態(tài)的顏色圖像信號(hào) ,16 位 色 共 65536 種 色 彩 。 輸出: VGA 掃描同步信號(hào)和消隱信號(hào)。 輸入: 25MHz 時(shí)鐘信號(hào)。 輸入: 50MHz 晶振信 號(hào)。根據(jù)當(dāng)前狀態(tài)選擇 VGAColor 模塊送入的多個(gè)顏色圖像信號(hào),發(fā)送給 VGA 接口 RGB 端口。 各部分控制 模塊 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 8 頁(yè) 共 36 頁(yè) 具體實(shí)現(xiàn)頂層模塊的描述,包括的功能主要是產(chǎn)生時(shí)鐘信號(hào),狀態(tài)機(jī)的定義和狀態(tài)的轉(zhuǎn)移,產(chǎn)生像素位置信號(hào),并根據(jù)不同的狀態(tài)和像素位置產(chǎn)生 RGB 顏色信號(hào)。 子 模塊 中包括二層和三層設(shè)計(jì)中的 八 個(gè)模塊,各模塊間存在信號(hào)的傳遞,其中時(shí)鐘信號(hào)由 Clock 產(chǎn)生,驅(qū)動(dòng)其他各個(gè)模塊,像素位置信號(hào)由 PixelCNT 產(chǎn)生,輸出到VGAColor、 VGASig、 HanziMode、 ImageMode 以及 UserMode 模塊,作為產(chǎn)生顏色圖像信號(hào)和掃描同步消隱信號(hào)的依據(jù), VGAMode 模 塊決定當(dāng)前的模式狀態(tài),輸出當(dāng)前需要顯示的顏色圖像信號(hào) 。 然后從邏輯上劃分出各層次的具體模塊,分別 如下。 如圖 4 所示。 系統(tǒng) 功能 結(jié)構(gòu)設(shè)計(jì) CRT 顯示器 VGA 顯示控制器 用戶(hù)輸入接口 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 7 頁(yè) 共 36 頁(yè) 圖 4 VGA顯示控制器系統(tǒng) 功能 結(jié)構(gòu)框圖 VGA 顯示控制器的輸入是用戶(hù)控制信息,如顯示模式的切換命令、 漢字、圖形 和圖像 移動(dòng)的控制命令等。 第三步: 系統(tǒng) 硬件語(yǔ)言描述 在前述工作的基礎(chǔ)上,采用 VHDL 語(yǔ)言對(duì) VGA顯示控制器的三 個(gè)層次依次進(jìn)行描述,具體描述出每個(gè)模塊,生成具體電路視圖。頂層用于描述整個(gè)系統(tǒng)的功能和運(yùn)行;第二層承接頂層,負(fù)責(zé)實(shí)現(xiàn)系統(tǒng)中各個(gè)獨(dú)立而完整的功能部分;第三層為第二層的子模塊,用于擴(kuò)展第二層的功能,具體負(fù)責(zé)用戶(hù)模式的實(shí)現(xiàn)。利用 VHDL 硬件描述語(yǔ)言對(duì)每個(gè)功能模塊進(jìn)行描述,并逐一對(duì)每個(gè)功能模塊進(jìn)行編譯仿真,使頂層 VGA 顯示控制器的模塊實(shí)體仿真綜合得以順利通過(guò) 。這 525 行當(dāng)中,有 480行是有效顯示區(qū), 45行是場(chǎng)消隱區(qū)。在這 800 個(gè)像素當(dāng)中, 640 點(diǎn)是有效顯示區(qū), 160 點(diǎn)是消隱區(qū) (即非顯示區(qū) )。 VGA 時(shí)序控制 VGA 工業(yè)標(biāo)準(zhǔn)中,像素的輸出頻率為 MHz,行頻率是 ,場(chǎng)頻率是。 VGA 接口定義 VGA 接口負(fù)責(zé)向顯示器輸出相應(yīng)的顯示信號(hào)。隨著技術(shù)的進(jìn)步顯示卡的功能也不斷增加。常見(jiàn)的有 60Hz 、 75Hz 等,標(biāo)準(zhǔn)VGA 顯示的場(chǎng)頻 60Hz ,行頻為 。而隔行掃描指電子束在掃描時(shí)每隔一行掃一線,完成一屏后再返回來(lái)掃描剩下的線,這與電視機(jī)的原理一樣。光柵掃描又分逐行掃描和隔行掃描。 越小像素越密 , 則畫(huà)面越清晰和細(xì)膩。 FPGA 有多種配置模式:并 行主模式為一片 FPGA 加一片 EPROM 的方式;主從模式可以支持一片 PROM 編程多片 FPGA;串行模式可以采用串行 PROM 編程 FPGA;外設(shè)模式可以將 FPGA 作為微處理器的外設(shè),由微處理器對(duì)其編程。FPGA 的編程無(wú)須專(zhuān)用的 FPGA 編程器,只須用通用的 EPROM、 PROM 編程器即可。 FPGA 是由存放在片內(nèi) RAM中的程序來(lái)設(shè)置其工作狀態(tài)的,因此, 工作時(shí)需要對(duì)片內(nèi)的 RAM進(jìn)行編程。 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 3 頁(yè) 共 36 頁(yè) FPGA 是 ASIC 電路中設(shè)計(jì)周期最短、開(kāi)發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一。 FPGA 采用了邏輯單元陣列 LCA( Logic Cell Array)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊 CLB( Configurable Logic Block)、輸出輸入模塊 IOB( Input Output Block)和內(nèi)部連線( Interconnect)三個(gè)部分。 XC4025 包含大約 1024 個(gè) CLB
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1