freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

crt字符控制器設(shè)計(jì)畢業(yè)設(shè)計(jì)(完整版)

  

【正文】 .................................................................................................. 2 VGA 基本概念 .............................................................................................................. 3 VGA 顯示原理 .............................................................................................................. 4 VGA 接口定義 .................................................................................................. 4 VGA 時(shí)序控制 .................................................................................................. 4 2 系統(tǒng)設(shè)計(jì) .......................................................................................................................... 6 設(shè)計(jì)思路 ..................................................................................................................... 6 系統(tǒng)功能結(jié)構(gòu)設(shè)計(jì) ..................................................................................................... 6 系統(tǒng)層次模塊劃分 ..................................................................................................... 7 頂層模塊 .......................................................................................................... 7 各部分控制模塊 .............................................................................................. 7 用戶模式 底層模塊 .......................................................................................... 9 系統(tǒng)硬件語(yǔ)言描述 ................................................................................................... 11 描述思路 ................................................................................................ 11 總體電路的描述 ............................................................................................ 11 分塊電路的描述 ............................................................................................ 15 系統(tǒng)仿真驗(yàn)證 ........................................................................................................... 28 3 結(jié)果與分析 ................................................................................................................... 30 實(shí)現(xiàn)功能說明 ........................................................................................................... 30 器件資源分析 ........................................................................................................... 31 故障與問題分析 ....................................................................................................... 32 4 總結(jié) ................................................................................................................................. 33 謝 辭 .................................................................................................................................... 34 參考文獻(xiàn) ............................................................................................................................... 35 附 錄 .................................................................................................................................... 36 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 1 頁(yè) 共 36 頁(yè) 引 言 隨著科技的發(fā)展, VGA 漢字顯示系統(tǒng)的應(yīng)用范圍越來越廣泛,傳統(tǒng)的 VGA 字符顯示方案是通過通用處理器控制 VGA 接口顯示字符信息的,這種顯示方案是以通用處理器為核心的處理系統(tǒng),整個(gè)系統(tǒng)體積大、可靠性不高且靈活性差,不適合便攜設(shè)備的設(shè)計(jì)。另外,由于 FPGA 具有可重構(gòu)能力、抗干擾性強(qiáng)等特點(diǎn) ,因此,F(xiàn)PGA 在工業(yè)控制等領(lǐng)域越來越受到重視。像 PAL 一樣,各個(gè)陣列單元之間的相互連接是可以編程的。為追求更佳的件能、更小的尺寸、更低的成本、更快的錯(cuò)誤恢復(fù)能力、高可靠性以及更快更易使用的原型,集成電路的設(shè)計(jì)者都意識(shí)到一定會(huì)有一種器件要取代當(dāng)時(shí)的中小規(guī)模電路。這款 FPGA 包含 422Kbit的 RAM,主要用于編程。 FPGA 采用高速 CHMOS 工藝,功耗低,可以與 CMOS、 TTL 電平兼容。當(dāng)需要修改 FPGA 功能時(shí),只需換一片 EPROM 即可。而 分辨率的則指整個(gè)屏幕的像素得多少。隔行掃描的顯示器比逐行掃描閃爍得更厲害,也會(huì)讓使用者的眼睛更疲勞。 VGA 顯示原理 VGA 標(biāo)準(zhǔn)是一種計(jì)算機(jī)顯示標(biāo)準(zhǔn),最初是由 IBM 公司在 1987 年提出 的,分辨率是640*480。如果顯示器發(fā)這種標(biāo)準(zhǔn)頻率輸出,其分辨率為 640*480,即每行顯示 640 個(gè)像素,每場(chǎng)顯示 480 行。場(chǎng)同步信號(hào)每場(chǎng)有一個(gè)脈沖,其低電平寬度是 16. 683 ms*2/ 525=63us(兩行 )。每個(gè)層次可用一個(gè)或多個(gè)具體模塊加以實(shí)現(xiàn),層次模塊劃分詳述于 小節(jié)中??刂破鞲鶕?jù)用戶 的輸入信息,決定工作模式 功能 ,這里定義為漢字 模式、 圖像 模式、色彩模式、用戶模式 的功能 。 頂層模塊 作用為集成子模塊功能,控制子模塊的連接和耦合信號(hào)。由此,可定義如下模塊: VGAMode、 Clock、 PixelCNT、 VGASig、 VGAColor、 HanziMode、 ImageMode。 輸出: 25MHz、 100Hz、 1Hz 時(shí)鐘信號(hào)。 顏色信號(hào)控制 模塊 VGAColor 產(chǎn)生所有狀態(tài)的顏色和圖像信號(hào),輸出到 VGAMode 模塊進(jìn)行選擇后送 VGA 接口。 圖像顯示控制 模塊 ImageMode 圖像模式跟漢字模式顯示原理一樣, 在 640*480分辨率的顯示器上開辟一 64*64 的顯示區(qū)域來顯示圖片,因?yàn)轱@示的圖片的大小為 64*64。 Vt、 ht 實(shí)際上可以分別理解為屏幕顯示的行和列計(jì)數(shù)器, count_tempv 和 count_temph 可以分別理解為圖片在屏幕上顯示的起始行和列坐標(biāo)。轉(zhuǎn)移條件均是子 模式切換按鍵按下。抓住這一核心點(diǎn),可以使得設(shè)計(jì)大為簡(jiǎn)化,否則要反映反彈運(yùn)動(dòng)完整過程需要定義多種狀態(tài),初步估計(jì) 16 種狀態(tài)可以完整描述??梢允褂?VHDL 語(yǔ)言描述硬件電路了。 總體電路 的描述 根據(jù)系統(tǒng)設(shè)計(jì)要求,首先定義系統(tǒng)頂層實(shí)體,描述輸入輸出端口。 g2,g3,g4,b0,b1,b2,b3,b4,b5: out std_logic)。 end ponent。 ht,vt: in std_logic_vector(9 downto 0)。 colors0,colors1,colors2,colors3: out std_logic_vector(15 downto 0))。 hpos1,vpos1: in std_logic_vector(9 downto 0)。 然后在 VGAController 中進(jìn)行例化, VHDL 描述如下(僅給出關(guān)鍵部分的描述)。 vgacolor_port_map: vgacolor port map(clk25m = clk25m, clk100hz = clk100hz, clk1hz = clk1hz, hpos = ht, vpos = vt, hpos1 = ht, vpos1 = vt, sw = sw(17 downto 2),button = bt,colors0 = colors0,colors1 = colors1,colors2 = colors2,colors3 = colors3)。 signal state:states:=s0。 end process。 end process。 process(clk25m) hsync begin if (rising_edge(clk25m)) then 桂林電子科技大學(xué)實(shí)訓(xùn)(論文)報(bào)告用紙 第 17 頁(yè) 共 36 頁(yè) if (ht = (640+8+8) and ht (640+8+8+96)) then hsync = 39。列同步區(qū)在 490~ 492像素點(diǎn)之間。 最后是消隱信號(hào)的產(chǎn)生。139。 end if。 end process。多個(gè)模塊用到該計(jì)數(shù)值。 end process。 end if。分頻到 100Hz 計(jì)數(shù)值改為 max2=249999 即可。 inclock: in std_logic。 else hanzicolors = 1111000000000011。 另外 ,為了實(shí)現(xiàn)圖像的動(dòng)態(tài)顯示功能 ,需要控制 Lpm_Rom 模塊地址與當(dāng)前圖像所在的坐標(biāo)和如何移動(dòng)的運(yùn)動(dòng)方向。 qin : in std_logic_vector(15 downto 0)。 end if。 end ponent。 clk1hz = not clk1hz。 Clock 模塊的描述 Clock 模塊主要描述分頻。 process(clk25m) vertical counter begin if (rising_edge(clk25m)) then if (ht = 640+8) then if vt 525 then vt = vt + 1。 process(clk25m) line count
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1