freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的rs232設(shè)計(編輯修改稿)

2025-07-24 17:39 本頁面
 

【文章內(nèi)容簡介】 nt1。 endEndassign neg_rx_int = ~rx_int1 amp。 rx_int2。 //捕捉到下降沿后,neg_rx_int拉高保持一個主時鐘周期reg[7:0] tx_data。 //待發(fā)送數(shù)據(jù)的寄存器reg bps_start_r。reg tx_en。 //發(fā)送數(shù)據(jù)使能信號,高有效reg[3:0] num。always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin bps_start_r = 139。bz。 tx_en = 139。b0。 tx_data = 839。d0。 end else if(neg_rx_int) begin //接收數(shù)據(jù)完畢,準(zhǔn)備把接收到的數(shù)據(jù)發(fā)回去 bps_start_r = 139。b1。 tx_data = rx_data。 //把接收到的數(shù)據(jù)存入發(fā)送數(shù)據(jù)寄存器 tx_en = 139。b1。 //進(jìn)入發(fā)送數(shù)據(jù)狀態(tài)中 end else if(num==439。d11) begin //數(shù)據(jù)發(fā)送完成,復(fù)位 bps_start_r = 139。b0。 tx_en = 139。b0。 endendassign bps_start = bps_start_r。reg rs232_tx_r。reg led1_r。always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin num = 439。d0。 rs232_tx_r = 139。b1。 led1_r=139。b0。 end else if(tx_en) begin if(clk_bps) begin led1_r=139。b1。 case (num) 439。d0: rs232_tx_r = 139。b0。 //發(fā)送起始位 439。d1: rs232_tx_r = tx_data[0]。 //發(fā)送bit0 439。d2: rs232_tx_r = tx_data[1]。 //發(fā)送bit1 439。d3: rs232_tx_r = tx_data[2]。 //發(fā)送bit2 439。d4: rs232_tx_r = tx_data[3]。 //發(fā)送bit3 439。d5: rs232_tx_r = tx_data[4]。 //發(fā)送bit4 439。d6: rs232_tx_r = tx_data[5]。 //發(fā)送bit5 439。d7: rs232_tx_r = tx_data[6]。 //發(fā)送bit6 439。d8: rs232_tx_r = tx_data[7]。 //發(fā)送bit7 439。d9: rs232_tx_r = 139。b1。 //發(fā)送結(jié)束位 default: rs232_tx_r = 139。b1。 endcase num = num+139。b1。 end else if(num==439。d11) begin num = 439。d0。 //復(fù)位 led1_r=139。b0。 end endendassign rs232_tx = rs232_tx_r。assign led1=led1_r。endmodule 接收模塊的描述`timescale 1ns / 1psmodule my_uart_rx(clk,rst_n, rs232_rx,rx_data,rx_int, clk_bps,bps_start,led0); input clk。 // 50MHz主時鐘input rst_n。 //低電平復(fù)位信號input rs232_rx。 // RS232接收數(shù)據(jù)信號input clk_bps。 // clk_bps的高電平為接收或者發(fā)送數(shù)據(jù)位的中間采樣點output bps_start。 //接收到數(shù)據(jù)后,波特率時鐘啟動信號置位output[7:0] rx_data。 //接收數(shù)據(jù)寄存器,保存直至下一個數(shù)據(jù)來到output rx_int。 //接收數(shù)據(jù)中斷信號,接收到數(shù)據(jù)期間始終為高電平output led0。reg rs232_rx0,rs232_rx1,rs232_rx2,rs232_rx3。 //接收數(shù)據(jù)寄存器,濾波用wire neg_rs232_rx。 //表示數(shù)據(jù)線接收到下降沿always @ (posedge clk or negedge rst_n) begin if(!rst_n) begin rs232_rx0 = 139。b0。 rs232_rx1 = 139。b0。 rs232_rx2 = 139。b0。 rs232_rx3 = 139。b0。 end else begin rs232_rx0 = rs232_rx。 rs232_rx1 = rs232_rx0。 rs232_rx2 = rs232_rx1。 rs232_rx3 = rs232_rx2。 endendassign neg_rs232_rx = rs232_rx3 amp。 rs232_rx2 amp。 ~rs232_rx1 amp。 ~rs232_rx0。 //接收到下降沿后neg_rs232_rx置高一個時鐘周期reg bps_
點擊復(fù)制文檔內(nèi)容
職業(yè)教育相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1