freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

電梯控制器的設(shè)計(jì)及實(shí)現(xiàn)(專業(yè)版)

  

【正文】 電梯外人的下降請(qǐng)求信號(hào) d1,d2,d3,d4,d5,d6 : in std_logic。如今,伴隨著這篇畢業(yè)論文的最終成稿,復(fù)雜的心情煙消云散,自己甚至還 有一點(diǎn)成就感。039。 圖 43 設(shè)置時(shí)鐘 clk 的周期 電梯功能的實(shí)現(xiàn)與仿真 仿真步驟 ( 1) 仿真器參數(shù)設(shè)置。 圖 39 程序輸入界面 ( 4) 輸入完畢后,需要對(duì)程序進(jìn)行保存。c_d44amp。 dd=d66amp。 if c_d2=39。 if c_u1=39。 對(duì)電梯內(nèi)人請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存 elsif d2=39。039。q1=q1+1。開(kāi)門操作 elsif en_up=39。 else door=00。 圖 36 七段數(shù)字顯示器 可發(fā)光段 a、 b、 c、 e、 g 形成一個(gè) 2 字。opendoor=39。039。139。 電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào) signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic。 ( 2) entity 實(shí)體設(shè)計(jì)模塊 entity dianti is port ( clk : in std_logic。 圖 33 電梯控制器原理圖 程序流程分析 電梯的運(yùn)行規(guī)則確立后,需對(duì)整個(gè)控制程序的設(shè)計(jì)作一個(gè)流程規(guī)范。 處于 六 樓時(shí),電梯只可能接收到下降的請(qǐng)求信號(hào)。 系統(tǒng)的顯示輸出包括數(shù)碼管樓層顯示、數(shù)碼管請(qǐng)求信號(hào)顯示和表征運(yùn)動(dòng)方向的箭頭江蘇大學(xué)學(xué)士學(xué)位論文 13 形指示燈的開(kāi)關(guān)信號(hào)。 QuartusII 允許來(lái)自第三方的 EDIF 文件輸入,并提供了很多 EDA 軟件的接口, QuartusII 支持層次化設(shè)計(jì),可以在一個(gè)新的編輯輸入環(huán)境中對(duì)使用不同輸入設(shè)計(jì)方式完成的模塊(元件)進(jìn)行調(diào)用,從而解決了原理圖與 HDL 混合輸入設(shè)計(jì)的問(wèn)題。使其在任何大系統(tǒng)的設(shè)計(jì)中,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。 ( 5) VHDL 程序包 為了使已定義的常數(shù)、數(shù)據(jù)類型、元件調(diào)用說(shuō)明以及子程序等能被更多其他的設(shè)計(jì)實(shí)體方便的訪問(wèn)和共享,可以將它們收集在一個(gè) VHDL 程序包中。 VHDL 具有良好的適應(yīng)性,用 VHDL 進(jìn)行電子系統(tǒng)設(shè)計(jì)的一個(gè)很大的優(yōu)點(diǎn)是設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對(duì)不影響功能的與工藝有關(guān)的因素花費(fèi)過(guò)多的時(shí)間和精力。 EDA 技術(shù)在進(jìn)入 21 世紀(jì)后,得得到了更大的發(fā)展,突出表現(xiàn)在以 下幾個(gè)方面: ( 1)使電子設(shè)計(jì)成果以自主知識(shí)產(chǎn)權(quán)的方式得以明確表達(dá)和確認(rèn)成為可能。使用 VHDL 語(yǔ)言進(jìn)行程序設(shè)計(jì),在 QuartusII軟件上對(duì)程序進(jìn)行編譯、 仿真 。 EDA 技術(shù)在硬件實(shí)現(xiàn)方面融合了大規(guī)模集成電路制造技術(shù)、 IC 版圖設(shè)計(jì)技術(shù)、 ASIC測(cè)試和封裝技術(shù)、 FPGA( Field Programmable Gate Array) /CPLD(Complex Programmable Logic Device)編程下載技術(shù)、自動(dòng)測(cè)試技術(shù)等;在計(jì)算機(jī)輔助工程方面融合了計(jì)算機(jī)輔助設(shè)計(jì)( CAD)、計(jì)算機(jī)輔助制造( CAM)、計(jì)算機(jī)輔助測(cè)試( CAT)、計(jì)算機(jī)輔助工程( CAE)技術(shù)以及多種計(jì)算機(jī)語(yǔ)言的設(shè)計(jì)概念;而在現(xiàn)代電子學(xué)方面 則容納了跟多的內(nèi)容 , 如電子線路設(shè)計(jì)理論、數(shù)字信號(hào)處理技術(shù)、數(shù)字系統(tǒng)建模和優(yōu)化技術(shù)及基于微波技術(shù)的長(zhǎng)線技術(shù)理論等。 PLC 是一種用于自動(dòng)控制的專用微機(jī),實(shí)質(zhì)上屬于微機(jī)控制方式。因此,本設(shè)計(jì)就六層電梯控制器設(shè)計(jì)為例,結(jié)合 EDA 技術(shù)對(duì)電梯控制進(jìn)行設(shè)計(jì)。本設(shè)計(jì)具有外部數(shù)據(jù)高速采集模塊、信號(hào)存儲(chǔ)模塊、基于 FPGA 的中央處理模塊、信號(hào)的輸出及顯示模塊。 本設(shè)計(jì) 的主要工作 是 利用 VHDL 語(yǔ)言編程實(shí)現(xiàn)六層電梯控制器各個(gè)模塊的功能,并用 QuartusII 對(duì)本文進(jìn)行設(shè)計(jì),討論六層電梯控制器設(shè)計(jì)的思路、示意圖、結(jié)構(gòu)圖、流程圖及程序仿真圖。隨著社會(huì)的不斷發(fā)展,樓房越來(lái)越高,而電梯成為了高層樓房的必須設(shè)備。從這種意義上來(lái)說(shuō), PLC 編程水平的高低就決定電梯運(yùn)行狀態(tài)的好壞,因此 PLC 應(yīng)用在電梯控制中的編程技術(shù)就成為控制電梯運(yùn)行的關(guān)鍵技術(shù)?;?EDA 技術(shù)的 VHDL 語(yǔ)言對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件是什么,而進(jìn)行獨(dú)立的設(shè)計(jì)。 EDA 技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在 EDA 軟件平臺(tái)上,用硬件描述語(yǔ)言 VHDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。 VHDL 語(yǔ)言介紹 VHDL 的英文全稱是 VHSIC( Very High Speed Integrated Circuit ) Hardware Description Language, 于 1983 年由美國(guó)國(guó)防部 ( DOD) 發(fā)起創(chuàng)建,由電工和電子工程師協(xié)會(huì) IEEE( The Institute of Electrical and Electronics Engineers)進(jìn)一步發(fā)展并在 1987 年作為 “IEEE標(biāo)準(zhǔn) 1076”( IEEE Std 1076) 發(fā)布。 VHDL 子程序與其他軟件語(yǔ)言中的 子程序的應(yīng)用目的是相似的,即能更有效地完成重復(fù)性的工作。 (2)VHDL 語(yǔ)言具有強(qiáng)大的硬件描述能力 VHDL 語(yǔ)言具有多層次的電路設(shè)計(jì)描述功能,既可描述系統(tǒng)級(jí)電路 , 也可以描述門級(jí)電路;描述方式既可以采用行為描述、寄存器傳輸描述或者結(jié)構(gòu)描述,也可以采用三者的混合描述方式。 VHDL 的設(shè)計(jì)步驟 采用 VHDL 的系統(tǒng)設(shè)計(jì),一般有以下 6 個(gè)步驟。 電梯運(yùn)行過(guò)程中,由于用戶的請(qǐng)求信號(hào)的輸入是離散的,而且系統(tǒng)對(duì)請(qǐng)求的響應(yīng)也是離散的,因此請(qǐng)求信號(hào)的存儲(chǔ)要求新的請(qǐng)求信號(hào)不能覆蓋原來(lái)的請(qǐng)求信號(hào),只有響應(yīng)動(dòng)作完成后才能清除存儲(chǔ)器內(nèi)對(duì)應(yīng)的請(qǐng)求信號(hào)位。使電梯進(jìn)入預(yù)上升狀態(tài)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。其流程圖如圖 32 所示 。 圖 35 控制器端口分布圖 ( 2)程序要求的寄存器(中間信號(hào))包括: 電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào) ( d11,d22,d33,d44,d55,d66)、電梯外人上升請(qǐng)求信號(hào)寄存江蘇大學(xué)學(xué)士學(xué)位論文 18 信號(hào)( c_u11,c_u22,c_u33,c_u44,c_u55 )、 電 梯 外 人 下 降 請(qǐng) 求 信 號(hào) 寄 存 信 號(hào)( c_d22,c_d33,c_d44,c_d55,c_d66)、 分頻信號(hào)( q)、關(guān)門延時(shí)計(jì)數(shù)器( q1)、看門狗 計(jì)數(shù)器( q2)、電梯內(nèi)外請(qǐng)求信號(hào)寄存器( dd,cc_u,cc_d,dd_cc)、開(kāi)門使能信號(hào)( opendoor)、電梯運(yùn)動(dòng)方向信號(hào)寄存器( updown)、預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào)( en_up,en_dw) 。電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(5 downto 0)。預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào) begin process(clk) begin 。 opendoor=39。139。139。039。 then a g a f b c d e 江蘇大學(xué)學(xué)士學(xué)位論文 21 door=10。 then q1=3。q1=0。 。 elsif d5=39。 elsif c_u4=39。 elsif c_d5=39。c_u55amp。 電梯內(nèi)人請(qǐng)求信號(hào)顯示 led_c_u=cc_u。選擇菜單 “File” 中的 “New”項(xiàng),在 New 窗口中選擇 “Vector Waveform File”,單擊 OK 按鈕,即出現(xiàn)空白的波形編譯器(圖41)。 3. 電梯上升到 4 樓時(shí),響應(yīng)請(qǐng)求( c_u4) ,開(kāi)門載客;進(jìn)入預(yù)備上升狀態(tài)。 在本設(shè)計(jì)中,因?yàn)榭紤]了擴(kuò)展性,所以在信號(hào)定義的時(shí)候就使用了二進(jìn)制的向量,而不是整數(shù)。 use 。 。在 此,也對(duì)他們表示衷心感謝 當(dāng)然也要感謝我的父母,我所邁出的每一步,都凝聚著你們心血和汗水,你們始終如一的支持和關(guān)愛(ài),是我一直勇敢向前的動(dòng)力。 2. 電梯執(zhí)行完所有 請(qǐng)求時(shí)電梯將停在 1 樓待機(jī)。 led 顯示電梯所在樓層; led_d、 ledc_u 和 led_c_d 顯示用戶的請(qǐng)求。 圖 310 編譯完成 編譯完成,程序調(diào)試結(jié)束。 ud=updown。039。139。139。139。 end if。139。關(guān)門中斷 elsif quick=39。 故障報(bào)警 else if opendoor=39。q2=0。039。有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) end if。 c_u22=39。開(kāi)門使能信號(hào) signal updown:std_logic。電梯門控制信號(hào) led : out std_logic_vector(6 downto 0)。 輸出端口:電梯門控制信號(hào)( door) 、 電梯所在樓層顯示( led) 、 電梯外人上升請(qǐng)求信號(hào)顯示( led_c_u) 、 電梯外人下降請(qǐng)求信號(hào)顯示( led_c_d) 、 電梯內(nèi)請(qǐng)求信號(hào)顯示( led_d) 、看門狗報(bào)警 信號(hào)( wahaha) 、 電梯運(yùn)動(dòng)方向顯示( ud) 、 超載警告信號(hào)( alarm) 、 電機(jī)控制信號(hào)( up,down) 。本設(shè)計(jì)采用 以下 規(guī)則 :電梯 以前一狀態(tài)為基準(zhǔn),即前一狀 態(tài)為上升,則操作完所 有 上升請(qǐng)求再操作下降請(qǐng)求;前一狀態(tài)為下降,則反之。 出于這方面的考慮,本設(shè)計(jì)把電梯的請(qǐng)求信號(hào)劃分為上升請(qǐng)求和下降請(qǐng)求。鍵盤、光敏外部輸入接口電路未設(shè)計(jì)。這些模塊可以預(yù)先設(shè)計(jì)或者使用以前設(shè)計(jì)中的存檔模塊 , 將這些模塊存放在庫(kù)中 , 就可以在以后的設(shè)計(jì)中進(jìn)行復(fù)用。 VHDL 語(yǔ)言設(shè)計(jì)方法靈活多樣 , 既支持自頂向下的設(shè)計(jì)方式 , 也支持自底向上的設(shè)計(jì)方法 。結(jié)構(gòu)體的語(yǔ)句格式如下: ARCHITECTURE 結(jié)構(gòu)體名 OF 實(shí)體名 IS 江蘇大學(xué)學(xué)士學(xué)位論文 9 [說(shuō)明語(yǔ)句 ] BEGIN [功能描述語(yǔ)句 ] END 結(jié)構(gòu)體名; ( 3)子程序 VHDL 子程序( SUBPROGRAM)是一個(gè) VHDL 程序模塊。 ( 8) SoC 高效低成本設(shè)計(jì)技術(shù)的成熟。這些器件可以 通過(guò)軟件編程而對(duì)其硬件結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),從而使得 硬件的設(shè)計(jì)可以如同軟件設(shè)計(jì)那樣方便快捷。 方案選擇 對(duì)于符合市場(chǎng)需求的大規(guī)模系統(tǒng),要達(dá)到高效、高速完成,必須有多人甚至多個(gè)開(kāi)發(fā)組共同并行工作才能實(shí)現(xiàn)。就電梯的控制方法而言,目前國(guó)產(chǎn)電梯廣泛采用可編程控制器 技術(shù)的智能化控制。 PLC 技術(shù) 在 電梯控制 中 的應(yīng)用 PLC(可編程控制器)作為一種工業(yè)控制微型計(jì)算機(jī),它以其編程方便、操作簡(jiǎn)單尤其是它的高可控性等優(yōu)點(diǎn),在工業(yè)生產(chǎn)過(guò)程中得到了廣泛的應(yīng)用。 針對(duì)目前中小型電梯所能實(shí)現(xiàn)的功能,本控制器可控制電梯完成 6 層樓的載客服務(wù),而且遵循方向優(yōu)先原則,并能響應(yīng)提前關(guān)門和延時(shí)關(guān)門,具有超載報(bào)警和故障報(bào)警功能,同時(shí)指示電梯運(yùn)行情況和電梯內(nèi)外請(qǐng)求信息 。本設(shè)計(jì)對(duì)更高層的電梯控制設(shè)計(jì)具有一定的拓展性。 單片機(jī)體積小,價(jià)格低廉,處理速度快,可靠性好,易擴(kuò)展,控制功能強(qiáng),易開(kāi)發(fā),是合適的控制系統(tǒng)。能在較惡劣的各種環(huán)境里工作、可靠性高,適合于安全性要求較高的電梯控制。 EDA 技術(shù) 已 不是某一學(xué)科的分支, 或某種新的技能技術(shù),它 應(yīng)該 是一 門 綜合性學(xué)科,它 融合多學(xué)科于一體, 又滲透于各個(gè)學(xué)科之中。 EDA 技術(shù)介紹 EDA 是電子設(shè)計(jì)自動(dòng)化( Electronic Design Automation)的縮寫(xiě),在 20 世紀(jì) 90 年代初從計(jì)算機(jī)輔助設(shè)計(jì)( CAD)、計(jì)算機(jī)輔助制造( CAM)、計(jì)算機(jī)輔助測(cè)試( CAT)和計(jì)算機(jī)輔助工程( CAE)的概念發(fā)展而來(lái)的。 ( 3)電子技術(shù)全方位進(jìn)入 EDA 領(lǐng)域,除了日益成熟的數(shù)字技術(shù)外,傳統(tǒng)的電路系統(tǒng)設(shè)計(jì)建模理念發(fā)生了重大變化:軟件無(wú)線電技術(shù)的崛起,模擬電路系統(tǒng)硬件描述語(yǔ)言的表達(dá)和設(shè)計(jì)的標(biāo)準(zhǔn)化,系統(tǒng)可編程模擬器件的出現(xiàn), 數(shù)字信號(hào)處理和圖像處理的全硬件實(shí)現(xiàn)方案的普遍接受,軟硬件技術(shù)的進(jìn)一步融合等。 ( 1)實(shí)體 VHDL 實(shí) 體作為一個(gè)設(shè)計(jì)實(shí)體(獨(dú)立的電路功能結(jié)構(gòu))的組成部分,其功能是對(duì)這個(gè)設(shè)計(jì)實(shí)體與外部電路進(jìn)行接口描述。 ( 6)配置 配置可以把特定的結(jié)構(gòu)體關(guān)聯(lián)到(指定給)一個(gè)確定的實(shí)體。 (6) VHDL 語(yǔ)言的設(shè)計(jì)描述與器件無(wú)關(guān) 采用 VHDL 語(yǔ)言描述硬件電路時(shí) , 設(shè)計(jì)人員并不需要首先考慮選擇進(jìn)行設(shè)計(jì)的器件。QuartusII 擁有性能良好的設(shè)計(jì)錯(cuò)誤定位器,用于確定文本或圖形設(shè)計(jì)中的錯(cuò)誤。假如電梯處于向上運(yùn)動(dòng)狀態(tài),初始位置是底層,初試請(qǐng)求是 6 樓, 2 樓時(shí)進(jìn)入一人,如果他的目的地也是 6 樓,他看到初試請(qǐng)求是 6 樓,就可以不再按鍵。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則返回 一樓待機(jī)。 根據(jù) VHDL 語(yǔ)言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。 超載、關(guān)門中斷、提前關(guān)門、清除報(bào)警信號(hào) c_u1,c_u2,c_u3,
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1