freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設(shè)計——基于pld及三層電梯及控制設(shè)計(完整版)

2025-02-21 23:05上一頁面

下一頁面
  

【正文】 q1=0; q2=q2+1; 關(guān)門中斷 elsif quick=39。 then 下降預(yù)操作 if deng=39。; elsif q1=3 then door=01; q1=q1+1; else q1=q1+1; door=00; end if; end if; 139。139。c_u22amp。 圖 輸入路徑選擇為文本輸入 本輸入 在文本輸入界面內(nèi)進(jìn)行程序輸入,如圖 。 圖 設(shè)置頂層文件 6. 程序編譯 在“ MAX+plusII”下拉按鈕里選擇” Compiler” ,對程序進(jìn)行編譯。 3. 添加節(jié)點信號 在編輯器的 Name 欄點擊鼠標(biāo)右鍵,選擇“ Enter Nodes from SNF”選擇添加需要觀察的節(jié)點信號。 。 ( 4) led:電梯每運行到達(dá)一層時,都會有不同的信號輸出: 100111 00100 畢業(yè)設(shè)計 19 0000110。由于二樓并沒有請求,所以電梯不作停頓地下降到一樓。 ( 5) led_c_d:在電梯接收到 c_d3 后,賦值樓層編碼為 100,表示梯外有人請求下降,電梯運行到達(dá)請求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?000,表示下降請求得到滿足或在待機(jī)。),電梯重新進(jìn)入預(yù)備下降狀態(tài)。當(dāng)電梯超重時,超重信號就進(jìn)行報警。 畢業(yè)設(shè)計 22 附錄一 三層電梯控制的源程序 library ieee; use ; use ; use ; entity dianti is port ( clk : in std_logic; full,deng,quick,clr : in std_logic; c_u1,c_u2: in std_logic; c_d2,c_d3: in std_logic; d1,d2,d3: in std_logic; g1,g2,g3: in std_logic; door : out std_logic_vector(1 downto 0); led : out std_logic_vector(6 downto 0); led_c_u:out std_logic_vector(2 downto 0); led_c_d:out std_logic_vector(2 downto 0); led_d : out std_logic_vector(2 downto 0); wahaha : out std_logic; ud,alarm : out std_logic; up,down : out std_logic ); end dianti; architecture behav of dianti is signal d11,d22,d33:std_logic; signal c_u11,c_u22:std_logic; 畢業(yè)設(shè)計 23 signal c_d22,c_d33:std_logic; signal q:integer range 0 to 1; signal q1:integer range 0 to 6; signal q2:integer range 0 to 9; signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0); signal opendoor:std_logic; signal updown:std_logic; signal en_up,en_dw:std_logic; begin :process(clk) begin if clk39。139。139。139。139。139。039。039。139。139。039。039。139。139。139。039。139。d22amp。039。039。139。139。039。039。039。139。139。139。139。039。039。139。139。139。039。139。139。 q1信號從 1 跳到 3,進(jìn)入關(guān)門狀態(tài)。 當(dāng)連續(xù)關(guān)門中斷的次數(shù)超過 3次時,不認(rèn)為是出自乘客的需要,而認(rèn)為是故障,并報警,等技術(shù)員處理完故障時,用 clr 信號才可以清除報警。 (三)電梯功能仿真 電梯的功能包括:提前關(guān)門、看門狗報警、超載報警、故障報警。仿真情況如圖 。 ( 5) led_c_d:在電梯接收到 c_d3 后,賦值樓層編碼為 100,表示梯外有人請求下降,電梯運行到達(dá)請求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?000,表示下降請求得到滿足。到達(dá)三樓載客后,電梯內(nèi)又接收到下降到一樓的梯內(nèi)請求( d1),于是電梯返回一樓待機(jī)。 圖 添加節(jié)點信號 4. 對輸入信號賦值 通過編輯器左側(cè)的一些快捷按鈕對所加節(jié)點中的輸入信號進(jìn)行相關(guān)的賦值后,就可對程序進(jìn)行仿真,觀察輸出信號,得出結(jié)論。當(dāng)程序被確認(rèn)無誤以后會出現(xiàn)圖 的界面。文件名和實體定義的符號必須保持一致,即文件名為 dianti。c_d22amp。139。139。 then door=10; q1=0; q2=q2+1; elsif quick=39。 then q1=3; 提前關(guān)門 elsif q1=6 then door=00; updown=39。; down=39。; q1=0; 超載報警 if q1=3 then door=10; else door=00; end if; elsif q=1 then q=0; alarm=39。 then if clr=39。039。139。139。139。139。本程序只使用到上述的語言結(jié)構(gòu),相對簡易。 then q1=0; q2=0; wahaha=39。進(jìn)程語句部分在 begin和 end process 之間,進(jìn)程內(nèi)的所有語句都是按順序一個接一個執(zhí)行的。c_u22amp。139。 :process(clk) begin if clk39。 本設(shè)計的寄存器包括:電梯內(nèi)人請求到達(dá)一樓信號寄存( d11)、電梯內(nèi)人請求到達(dá)二樓信號寄存( d22)、 電梯內(nèi)人請求到達(dá)三樓信號寄存( d33)一樓電梯外人上升請求信號寄存( c_u11)、二樓電梯外人上升請求信號寄存( c_u22)二樓電 梯外人下降請求信號寄存( c_d22)、三樓電梯外人下降請求信號寄存( c_d33)分頻信號( q)關(guān)門延時計數(shù)器( q1)、看門狗計數(shù)器( q2)電梯內(nèi)請求信號寄存器( dd)電梯外上升請求信號寄存器( cc_u)、電梯外下降請求信號寄存器( cc_d)電梯請求信號寄存器( dd_cc) 開門使能信號( opendoor)電梯運動方向信號寄存器( updown)預(yù)備上升操作使能( en_up)、預(yù)備下降預(yù)操作使能( en_dw)。在電梯進(jìn)行完關(guān)門倒數(shù)計時、超重排除以及故障排除后,關(guān)門使能信號將促使電梯關(guān)門進(jìn)入預(yù)操作狀態(tài)。 處 于 三 樓預(yù) 下 降返 回 一 樓 待機(jī)下降信號無信號 圖 電梯處于三樓時的運行分析 電梯是一個人機(jī)交互式的控制系統(tǒng),單純用順序優(yōu)先或邏輯優(yōu)先是不能滿足控制要求的,因此,控制系統(tǒng)采用隨機(jī)邏輯方式去解決信號優(yōu)先的問題。如果電梯沒有接收到請求信號,電梯則在一樓待機(jī)。用 PLD 技術(shù)完成一個確定的設(shè)計,可以利用相應(yīng)的工具平臺進(jìn)行邏輯綜合和優(yōu)化,完成設(shè)計任務(wù)。 PLD 技術(shù)開發(fā)手段多樣,其中應(yīng)用最為廣泛的就是通過程序?qū)τ布M(jìn)行開發(fā),而其中又?jǐn)?shù) VHDL語言最受設(shè)計者的歡迎。之后將優(yōu)化結(jié)果下載到所選可擦寫可編程邏輯器件( EPLD)芯片中,進(jìn)行動態(tài)仿真。 。在現(xiàn)代高新電子產(chǎn)品的設(shè)計和生產(chǎn)中,微電子技術(shù)和現(xiàn)代電子設(shè)計技術(shù)是相互促進(jìn)、相互推動又相互制約的兩個技術(shù)環(huán)節(jié)。 一、設(shè)計的基礎(chǔ)依據(jù) 現(xiàn)代電子設(shè)計技術(shù)的核心是 EDA技術(shù)。s increasing demand for a result, a much larger domestic elevator market has formed a bang. This design is based on Programmable Logic Devices ( PLD) in a Very High speed of the integrated hardware Describe Language ( VHDL) in developing the third floor of the lift of control procedures. this program has vhdl language designed for the best of three modules : entity, architecture, process. Through the program run, debug and simulation results show that the application can finish: the floor, in the direction, the delay, the guard dog the police, and failure the police, etc. this design with higher the control of the design. 【 KEY WORD】 :lift control, programmer, PLD, VHDL 畢業(yè)設(shè)計 I 目 錄 引言 ...................................................................... 2 一、設(shè)計的基礎(chǔ)依據(jù) ........................................................ 2 (一) EDA技術(shù)介紹 ..................................................... 2 (二) VHDL語言介紹 .................................................... 2 (三) MAX+p
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1