freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda搶答器的設(shè)計-文庫吧在線文庫

2024-12-31 21:57上一頁面

下一頁面
  

【正文】 有效電平輸入信號 i;狀態(tài)輸出信號 q;計數(shù)脈沖 clk2。搶答器共有 3 個輸出顯示,選手代號、計數(shù)器的個位和十位,他們輸出全都為 BCD 碼輸出,這樣便于和顯示譯碼器連接。 《 EDA 技術(shù)綜合設(shè)計》 課程設(shè)計報告 報 告 題 目: 基于 VHDL 的 四路搶答器 作者所在系部: 電子工程系 作者所在專業(yè): 自動化 作者所在班級: B07221 作 者 姓 名 : XXX 指導教師姓名: XXX 完 成 時 間 : 20201129 1 內(nèi) 容 摘 要 搶答器是為智力競賽參賽者答題時進行搶答而設(shè)計的一種優(yōu)先判決器電路,競賽者可以分為若干組,搶答時各組對主持人提出的問題要在最短的時間內(nèi)做出判斷,并按下?lián)尨鸢存I回答問題 。 除此之外,整個搶答器還需有一個使能信號和一個歸零信號,以便搶答器能實現(xiàn)公平搶答和停止。 數(shù)據(jù)選擇模塊: 在這個模塊中主要實現(xiàn)搶答過程中的數(shù)據(jù)輸入功能, 輸入信號 a[3..0]、 b[3..0]、c[3..0];計數(shù)輸出信號 s;數(shù)據(jù)輸出信號 y; 計數(shù)脈沖 clk2,實現(xiàn) a、 b、 c 按脈沖輪流選通,在數(shù)碼管上顯示。 entity qdjb is port(rst,clk2:in std_logic。 then tmp=39。 or st(0)=39。 ) 6 then st(0)=39。139。 if (s2=39。 or st(3)=39。139。139。 elsif (st=0100) then states=0011。 entity js is port(clk,rst,s,stop:in std_logic。139。139。 end process p1。139。 end process p2。 y: out std_logic_vector(3 downto 0) )。event and clk2=39。 when 10=y=c。 ENTITY ALARM IS PORT(CLK,I:IN STD_LOGIC。139。 N=N+1。 USE 。 2 WHEN 0011=DOUT7=1111001。 END CASE。 clk16 : OUT STD_LOGIC)。 END IF 。 ELSE clk16 = 39。 use 。 s0,s1,s2,s3:in std_logic。 ponent sjxz is port(clk2,rst:in std_logic。 ponent alarm is port(clk,i:in std_logic。c=ledout(4)。 u4:ymq port map(ain4=y_out,DOUT7=ledout)。所以,在設(shè)計時應考慮兩者的差異,從中找出最適合的設(shè)計方法。我們組的 3 位成員在實驗室里日出而作,日落不息。通過這次課程設(shè)計使我懂得了理論與實際相結(jié)合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐 相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。 五、性能測試與分析 按下 rst 鍵清零,按下 s 鍵,觀察數(shù)碼管是否開始 倒計時,揚聲器是否發(fā)出報警聲,按下 s0,觀察數(shù)碼管是否顯示 1 和搶答的時間,再按 s1, 均不改變顯示,按下 rst 鍵,觀察是否清零,再按 s 鍵,不按別的,直到計時時間到,觀察是否顯示 00,揚聲器是否發(fā)出報警。g=ledout(0)。 signal ledout:std_logic_vector(6 downto 0)。 end ponent。 ponent js is port(clk,rst,s,stop:in std_logic。 m:out std_logic_vector(1 downto 0)。 END PROCESS。event AND clk2=39。event AND clk2=39。 USE 。 6 WHEN 0111=DOUT7=1110000。 END YMQ。 END IF。039。 SIGNAL N:INTEGER RANGE 0 TO 20。 end body_chooser。 end if。 process(clk2,rst) begin
點擊復制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1