freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga數(shù)字式頻率計(jì)設(shè)計(jì)-文庫吧在線文庫

2024-11-21 12:04上一頁面

下一頁面
  

【正文】 xx fNNf ff ?? 1%1 0 039。 石英晶體振蕩器具有精度高,功耗低等特點(diǎn),特別適合為各種電路提供精確的時(shí)鐘。生成的分頻器模塊如下圖所示: 圖 410 分頻器模塊 程序中使用了隸屬函數(shù) generic, Generic ( rate : integer :=10 );定義了一個(gè)整形變量 rate,通過修改這個(gè)整形變量 rate 的值,可以實(shí)現(xiàn)分頻器分頻數(shù)的改變。 附錄 18 f_out : Out std_logic )。 then if t /= rate then t = t + 1。 仿真圖如下所示: 圖 411 分頻器模塊仿真圖 運(yùn)用 ponent 函數(shù)對(duì)上面程序的調(diào)用,即可很輕松的實(shí)現(xiàn)幾個(gè)分頻器,而不用再寫類似的程序。 clkout10 : out std_logic。 signal carry1,carry2,carry3:std_logic。 end structure。 use 。039。139。139。 end Behavioral。設(shè)置鎖存器的好處是,顯示的數(shù)據(jù)穩(wěn)定,不會(huì)由于周期性的清零信號(hào)而不斷閃爍。 Reset : out std_logic。 end if。然后根據(jù)測頻的時(shí)序要求,可得出信號(hào) latch 和 Reset 的邏輯描述。 use 。 begin process(rst,clk) begin if rst=39。 then if count 1001 then count = count+1。 carry_out = 39。有清零端和保持端,通過對(duì)計(jì)數(shù)器的級(jí)聯(lián)就可以實(shí)現(xiàn)十進(jìn)制六位數(shù)的計(jì)數(shù)。程序很簡單,即鎖存信號(hào)的上升沿來時(shí)送數(shù),其它時(shí)候則保持不變。輸入端包括掃描信號(hào) 1KHz,由前面產(chǎn)生在本模塊起控制作用的溢出信 號(hào)Q_ over,小數(shù)點(diǎn)指示信號(hào) Dp1,Dp2 以及鎖存器的輸出結(jié)果。 freq_value2,freq_value3 : in std_logic_vector(3 downto 0)。 begin scan : process (f1khz) begin if rising_edge(f1khz) then if sel = 101 then sel = 000。 when 011 = data = freq_value3。 then case data is when 0000 = led = 0000001。 when 1000 = led = 0000000。 out2=1111111。 when 100 = out4 = led。039。 end if。 when 010 = if q_over = 39。 end if。 以下是最后的仿真,第一個(gè)是局部的放大,第二個(gè)仿真結(jié)果的整體。測得的數(shù)據(jù)很精 確, 基本和 函數(shù)發(fā)生器的顯示吻合。究其原因,除了數(shù)字測量本身的正負(fù) 1? 誤差和標(biāo)準(zhǔn)信號(hào)引起的誤差外,還發(fā) 現(xiàn)低頻信號(hào)的上升沿和下降沿的變化較緩慢,影響了計(jì)數(shù)器的計(jì)數(shù)值,造成了測量精度的下降。 use 。 result2 : out std_logic_vector(3 downto 0)。 carry_out : out std_logic。 U4 : counter Port map ( rst = clear, clk = Csignal, carry_in = carry3, carry_out = carry4, count_out = result4 )。 end if。 entity latch is port ( latchin : in std_logic。 overout : out std_logic。 rchitecture Behavioral of latch is begin process(latchin) begin if rising_edge(latchin) then overout=overin。 附錄 35 end process。 numout4=numin4。 numout4 : out std_logic_vector(3 downto 0)。 numin3 : in std_logic_vector(3 downto 0)。 附錄 2. 鎖存器源程序 library IEEE。139。 signal over1 :std_logic。 result6 : out std_logic_vector(3 downto 0))。 clear : in std_logic。 在實(shí)踐過程中不可避免的遇到了很多問題以及設(shè)計(jì)中一些不足的地方。 結(jié)論 在 主要介紹了利用 VHDL 語言完成基于 FPGA 的數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)。比如七段 LED 管的控制信號(hào)就連接到實(shí)際電路的七個(gè)引腳。 end Behavioral。 and dp2 /= 39。139。 when 100 = if q_over = 39。 end process。 case sel is when 000 = out0 = led。 end if。 when 0100 = led = 1001100。 end process。 end process。 architecture Behavioral of multi is signal sel : std_logic_vector(2 downto 0):=000。 use 。譯碼結(jié)果再連接到一個(gè)多路選擇器的輸入端,同樣由 1KHz 的信號(hào)來同步掃描選通。這樣的級(jí)聯(lián)形成了同步計(jì)數(shù),是一種計(jì)數(shù)較快的級(jí)聯(lián)方式,生成的原理模塊如下: 圖 419 級(jí)聯(lián)后的計(jì)數(shù)器 計(jì)數(shù)器模塊級(jí)聯(lián)的程序跟頻率計(jì)級(jí)聯(lián)程序雷同,這里不再貼出,有興趣的朋友可以參考附錄。 and count = 1001 else 39。 end if。event and clk= 39。 carry_out : out std_logic。 頻率計(jì)數(shù)器 由于要求頻率計(jì)的顯示為十進(jìn)制六位,所示我們?cè)O(shè)計(jì)的是使能端的十進(jìn)制計(jì)數(shù)器,所生成的模塊如 圖所示:其中 RST 是清零端, CLK 是計(jì)數(shù)信號(hào)輸入端, Carry_in 是計(jì)數(shù)保持端,即為高電平時(shí)計(jì)數(shù),低電平時(shí)停止計(jì)數(shù)保持計(jì)數(shù)不變。 reset=(not bsignal)and(not G1)and (G2)。039。 use 。其生成的元件符號(hào)如下圖所示: 附錄 22 圖 415 測頻控制器 測頻控制信號(hào)發(fā)生器設(shè)計(jì)要求:頻率測量的基本原理是計(jì)算每秒鐘內(nèi)待測信號(hào)的脈沖個(gè)數(shù)。 dp3 =39。 elsif se10 = 39。039。 附錄 21 fref: out std_logic。生成的模塊如下圖所示: 圖 413 閘門選擇器 該模塊有六個(gè)輸入端口,其中 se1,se10,se100 為選擇使能端, f1hz,f10hz,f100hz 為被選時(shí)基信號(hào)輸入端。 U4:fdiv generic map(rate=5) port map (f_in=carry3,f_out=clkout1)。 architecture structure of Fdiv3 is ponent fdiv is Generic ( rate : integer :=10 )。 use 。 end if。039。 use 。如此下推,對(duì)輸出為 2 位、 3 位、 4 位、 5 位的二進(jìn)制計(jì)數(shù)則其輸入 /輸出為 1﹕ 4, 1﹕ 8, 1﹕ 16, 1﹕ 32?? 。原理圖如下: 圖 48 同等度測量的原理圖 計(jì)數(shù)控制器將標(biāo)準(zhǔn)信號(hào)分頻為預(yù)置信號(hào),預(yù)置閘門信號(hào)與被測信號(hào)作用同步 之后輸出實(shí)際閘門信號(hào),作為周期計(jì)數(shù)器和脈沖計(jì)數(shù)器的計(jì)數(shù)使能信號(hào)。 圖 47 同步計(jì)數(shù) 不如令實(shí)際閘門時(shí)間為τ,被測信號(hào)周期數(shù)為 xN ,標(biāo)準(zhǔn)信號(hào)頻率為 cf ,計(jì)數(shù)值為 cN ,則被測信號(hào)的頻率值為: 附錄 15 ccxx fNNf ?39。前兩種測量法的原理,在上面的實(shí)驗(yàn)原理里面已經(jīng)詳細(xì)的討論了,不再累述。 眾所周知,數(shù)字電路也可以完成數(shù)字運(yùn)算,所謂倒數(shù)計(jì)數(shù)器,就是這種計(jì)數(shù)器:首先測量周期,然后自動(dòng)計(jì)算并顯示被測頻率。所以,為了提高測量低頻時(shí)的準(zhǔn)確度,即減小 1? 誤差的影響,可改成先測量周期 xT ,然后計(jì)算 xx Tf /1? 因?yàn)?xf 越低,則越大,計(jì)數(shù)器計(jì)得的數(shù) N 也越大,1? 誤差對(duì)測量結(jié)果的影響自然減小。 標(biāo)準(zhǔn)頻率誤差 閘門時(shí)間 T 準(zhǔn)不準(zhǔn),主要決定 于由石英振蕩器提供的標(biāo)準(zhǔn)頻率的準(zhǔn)確度,若石英振蕩器的頻率為 cf ,分頻系數(shù)為 k,則 cc fkkTT ?? 而2c cf fkT ???? 所以ccffTT ???? (44) 可見,閘門時(shí)間的準(zhǔn)確度在數(shù)值上等于標(biāo)準(zhǔn)頻率的準(zhǔn)確度,式中負(fù)號(hào)表示由 cf? 引起的閘門時(shí)間的誤差為 T?? 。從公式 (43)可知,不管計(jì)數(shù)值 N 多少,其最大附錄 10 誤差總是177。根據(jù)誤差合成方法,從公式 (41)可得 : TTNNffxx ????? (42) 公式 (42)中第一項(xiàng)NN?是數(shù)字化儀器所特有的誤差,而第二項(xiàng)TT?是閘門時(shí)間的相對(duì)誤差,這項(xiàng)誤差決定于石英振蕩器所提供的標(biāo)準(zhǔn)頻率的準(zhǔn)確度。門控信號(hào)的作用時(shí)間 T 是非常準(zhǔn)確的,以它作為時(shí)間基準(zhǔn) (時(shí)基 ),它由時(shí)基發(fā)生器提供。采用 133銫 ( 133Cs )原子基態(tài)的兩個(gè)超精細(xì)能級(jí)之間躍遷所對(duì)應(yīng)的 9 192 631 770 個(gè)周期的持續(xù)時(shí)間為一秒,以此為標(biāo) 準(zhǔn)定出的時(shí)間標(biāo)準(zhǔn)稱為原子時(shí) (記作 AT),其準(zhǔn)確度可達(dá) 1310? 。將太陽日分為24 60 60 份,得到的秒為零類世界時(shí) (記作 0UT ),其準(zhǔn)確度在 610? 量級(jí)。 第二章 數(shù)字式頻率計(jì)的總體設(shè)計(jì) 測量原理 簡述 附錄 7 在電子技術(shù)領(lǐng)域內(nèi),頻率是一個(gè)最基本的參數(shù),頻率與其它許多電參量的測量方案、測量結(jié)果都有十分密切的關(guān)系。 第三檔:閘門時(shí)間為 時(shí),最大讀數(shù)為 。 技術(shù)指標(biāo)要求 本課題核心任務(wù)是完成基于 FPGA 利用 VHDL 語言設(shè)計(jì)一個(gè)數(shù)字頻率計(jì)的設(shè)計(jì),仿真,下載實(shí)現(xiàn)并實(shí)際測量效果,同時(shí)要熟悉和掌握 SpartanII 這塊板子的各種性能。 VHDL 語言基本語句分為順序( sequential)描述語句和并發(fā) (concurrent)描述語句。由于 VHDL 語言具有支持大規(guī)模設(shè)計(jì)和再利用已有設(shè)計(jì)等優(yōu)點(diǎn),因此使用 VHDL 語言來設(shè)計(jì)數(shù)字系統(tǒng)已成為一種潮流。1 誤差 ....................................................9 標(biāo)準(zhǔn)頻率誤差 ...............................................10 結(jié)論 .......................................................10 測量周期的必要性 ...............................................11 測量周期的基本原理 .........................................11 附錄 3 誤差分析 ...................................................12 倒數(shù)計(jì)數(shù)器 .................................................13 等精度測量 .......................................................14 2 方案設(shè)計(jì) ??????????????????????????
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1