freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的ic卡電話計費系統(tǒng)的設計(存儲版)

2024-12-18 01:35上一頁面

下一頁面
  

【正文】 en sel_tmp=(others=39。 end count8。 din: in std_logic_vector(23 downto 0)。 when 101= dout=din(11 downto 8)。當計數(shù)器輸出為“ 000” 時,即選通第一個數(shù)碼管,當計數(shù)器輸出為“ 001”時,即選通第二個數(shù)碼管,依次類推。 entity seg7 is port(de_in: in std_logic_vector(3 downto 0)。 4 when 0101= segment=1011011。 c when 1101= segment=0111101。 圖 34 所示的是 IC 電話計費器頂層電路原理圖。 d_disptime: out std_logic_vector(11 downto 0)。 pread,pwrite,pwarn,cut: out bit。 計費系統(tǒng)的顯示模塊 ponent IC_count8數(shù)碼管掃描時鐘產(chǎn)生模塊 port(clk_1k: in std_logic。 segment: out std_logic_vector(6 downto 0))。 begin KeyCard: KeyTab port map(clk_1k,d_card,key_card)。 Decore: seg7 port map(d_out_tmp,d_segment)。 另外,對于圖 35(a),其輸出 sel 是 8 位數(shù)碼管的片選信號,為了使其更加直觀,其仿真波形中的數(shù)字式以十進制形式給出的 ,它是從 0 到 7 循環(huán)變化的,這樣就實現(xiàn)了對 8 個數(shù)碼管的動態(tài)掃描顯示, 其 不同的數(shù)值代表當前選通的 LED數(shù)碼管的標號;對于 dout 信號,表示的是 當前數(shù)碼管顯示卡余額和計時數(shù)據(jù),它是以 16 進制的 BCD 碼表示的。因此,本次設計基本上較好的完成了設計要求。 如圖 35(a)所示,用戶通話前其卡內(nèi)初始余額為 5 元 (remaining=“ 050” ) 當用戶摘機后并撥 通 電話,即 card=1, state=1,由于當前用戶撥打的是 市 話(decide=“ 01” ),即每通話一分鐘需要扣除 元的話費,因此當通話時間計滿一分鐘后,即系統(tǒng)在時鐘周期上升沿發(fā)出一個讀 read 信號,在下降沿時發(fā)出一個寫 write 信號,便開始對用戶的 IC 卡進行讀寫扣費,當通 話到一定時間后,用戶卡內(nèi)余額不足 元時,系統(tǒng)便開始發(fā)出告警信號 warn=1 提醒用戶,如果用 第 18 頁 戶仍然不掛機或者繼續(xù)通話,系統(tǒng)將在數(shù)個脈沖周期后強制切斷本次通話,即cut=1。 d_sel=sel_temp。 signal sel_temp: std_logic_vector(2 downto 0)。 end ponent。 第 16 頁 keyout: out std_logic)。 decide: in std_logic_vector(2 downto 1)。 d_read,d_write,d_warn,d_cut: out bit。 對于整個顯示模塊的仿真,將在 IC 電話計費器的頂層設計中進行仿真。 a when 1011= segment=0011111。 2 when 0011= segment=1111001。 use 。 end seg_sel。 when 011= dout=1111。 use 。 sel=sel_tmp。event and clk_1k=39。 8 進制計數(shù)器的VHDL 代碼 ()如下: library ieee。 源程序中,輸入信號 clk 是標準系統(tǒng)時鐘信號; card 信號為用戶插卡信號,這里為了便于說明,可將其一直設置為有效狀態(tài) —— ‘1’; state 信號為電話接通信號,同樣的將其設置為一直有效; decide 信號為用戶當前撥打的話務種類,這里假設用戶當前撥打的電話類型是“長途電話” ,decide=“ 10”,另外假設用戶的IC 卡上當前擁有 3 元的余額,即設置 remaining=“ 030”。 disptime= ptime。 temp=0。 reset_ena 保證用戶在余額足夠時不會因干擾而掉話 if(card=39。 else temp=0。 end if。 else ptime=000000000000。039。 end if。 money(11 downto 8)=money(11 downto 8)1。139。 warn=39。 end if。 reset_ena=39。139。039。039。 else if(state=39。) then時鐘上升沿時觸發(fā) if(num=59) then num=0。 第 5 頁 set 主要用于標識將用戶 IC 卡的余額信息讀入系統(tǒng)中 reset_ena 用于保護用戶在余額充足時 ,其在通話過程中不會因為某一干擾而掉話 signal num,temp: integer range 0 to 59。 disptime: out std_logic_vector(11 downto 0))。 use 。 end if。) then if(delay=1111) then keyout=39。 keyout: out std_logic)。它主要完成用戶通話過 程的 計時、計費功能, 且能夠根據(jù)當前通話的種類 (長話 元 /分 、市話 元 /分、 特話 免費 )進行相應的扣費, 其通話時間和卡值 余額 (最大余額為 元 )信 息每分鐘更新一次,并能在用戶通話時其卡內(nèi)余額不足以繼續(xù)通話時能 通過告警信號提示用戶,并在數(shù)秒后強制結(jié)束通話。 (3) 話務分為三類:市話每分鐘 元,長話每分鐘 元,特話免費; (4) 通話過程中, 用戶卡上余額不足時發(fā)出告警信號提醒用戶,幾秒后便切斷通話。其具體功能要求如下: (1) 卡值余額每分鐘更新一次; (2) 計時與計費數(shù)據(jù)以十進制通過 LED 數(shù)碼管顯示,其中用三位數(shù)碼管 顯示卡余額,最大額度為 元。 (2) 計費計時模塊 計費計時模塊是真?zhèn)€ IC 電話計費器的核心部分。 keyin: in std_logic。139。 end if。其 VHDL 源代碼如下 (): 電話計費器 ~~~~~信號定義 ~~~~~ clk 時鐘信號, 1Hz card 卡插入信號 state 接通信號 decide 話務種類: 01 市話, 10 長話, 11 特話 remaining 本張 IC 卡初始余額 dispmoney 顯示卡內(nèi)余額,單位為角 disptime 顯示通話時間 pwrite 下降沿寫卡 pread 上升沿讀卡 pwarn 余額過少告警,市話 3 角,長話 6 角 cut 自動切斷通話信號 library ieee。 dispmoney: out std_logic_vector(11 downto 0)。039。139。計時 1 分鐘 ,且當用戶能夠正常通話時 ,開始 讀用戶 卡 end if。 pread=39。) then時鐘下降沿時觸發(fā) if(set=3
點擊復制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1