freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的紅外遙控編譯碼器畢業(yè)論文-預(yù)覽頁

2025-08-10 09:00 上一頁面

下一頁面
 

【正文】 而紅外遙控編譯碼器也是極其常見的,然而技術(shù)和經(jīng)濟的發(fā)展使得數(shù)字化趨勢越來越強烈,很多時候已經(jīng)不能滿足用戶要求。目前在智能儀器和工業(yè)控制系統(tǒng)中的應(yīng)用也越來越廣泛。 [12]發(fā)射二極管發(fā)射次數(shù)和頻率對其使用壽命的影響 , 這種應(yīng)用中發(fā)射系統(tǒng)的使用壽命是設(shè)計者需要考慮的因素之一。有專家認(rèn)為,在新的世紀(jì)中, VHDL于 Verilog 語言將承擔(dān)起大部分的數(shù)字系統(tǒng)設(shè)計任務(wù)。在對一個設(shè)計實體定義了外部接口后,一旦其內(nèi)部開發(fā)完成后,其它的設(shè)計就可以直接調(diào)用這個實體。 Quartus174。單體便可提供 531441 種尋址能力。國外的編譯碼器主要通過科技商場等管道進(jìn)行銷售,消費者可以根據(jù)自己的需要進(jìn)行選擇,其發(fā)展趨勢是根據(jù)市場的需要編譯碼器擁有更多的功能和更人性化的設(shè)計。但正如西方的情況,我國也會經(jīng)歷從使用單一型遙控器走向多功能的編譯碼器,尤其是在信息化迅速發(fā)展的情況下,編譯碼器有著廣闊的需求和發(fā)展空間。 選用 集 成專用編譯碼 器件,此器件成功地研制出了多信道 紅 外 遙 控系 統(tǒng) 。通 過對 系 統(tǒng)電 路、 組裝進(jìn) 行的精心 設(shè)計處 理, 獲 得了 穩(wěn) 定、可靠的使用效果,目前已 經(jīng) 在全自 動 生 產(chǎn)線無 故障 運 行。 本設(shè)計的任務(wù)主要完成紅外遙控編譯碼器的系統(tǒng)架構(gòu)設(shè)計 以及關(guān)鍵技術(shù)問題上的解決辦法;用 VerilongHDL 語言對紅外遙控編譯碼器的進(jìn)行解碼。 ( e) 通過遙控器跳線改變用戶碼, EDA實驗板上用三個發(fā)光二極管正確顯示發(fā)送端的用戶碼。 第四章介紹關(guān)于編碼與譯碼所選擇的器件的特點。 長春工程學(xué)院畢業(yè)設(shè)計(論文) 5 2 系統(tǒng)的軟件設(shè)計 VHDL 語言的介紹 VHDL 的英文全寫 是: VHSIC( Very High Speed Integrated Circuit) Hardware Descriptiong Language。當(dāng)然在一些實力較為雄厚的單位,它也被用來設(shè)計 ASIC。但是這樣做需要設(shè)計人員要在兩方面有較高的素質(zhì): 。(改用不同的器件在今天這種競爭環(huán)境下是會經(jīng)常發(fā)生的。由于在使用 VHDL等高級語言時,有專用的工具來實現(xiàn)將語言描述的電路功能轉(zhuǎn)換為實際的電路所以你就用不著對底層的電路很熟悉,也用不著對 CPLD/FPGA 的結(jié)構(gòu)很熟悉(因為有專用的工具針對你的描述采用相應(yīng)的器件哦)。 2. 使用編譯工具編譯源文件。但是對 于一個可靠的設(shè)計而言,任何設(shè)計最好都進(jìn)行仿真,以保證設(shè)計的可靠性。 但是此時還沒有在芯片中形成真正的電路。 這一部的最終目的是生成門電路級的網(wǎng) 表。這就好像在設(shè)計 PCB 時的布局布線一樣。這一步同時還會加一些時序信息到你的設(shè)計項目中去,以便與你做后仿真。 軟 件的部分 應(yīng)該 完成 對 所 設(shè)計 的下位機硬件 紅 外 碼 接收 電 路的 實現(xiàn) ,即完成 對紅 外 碼的數(shù) 據(jù)采集功能。程序 書寫 形式自由,主要 為 小 寫 字母表示, 壓縮了 一切不必要的成分。能很好的使用函 數(shù)來實現(xiàn) 程序的模 塊 化。 函 數(shù) 的流程 數(shù)字系統(tǒng)的設(shè)計方法從整體和局部的先后順序上分,可以分為自頂向下( topdown)的設(shè)計和自底向上 (bottomup)的設(shè)計。 結(jié) 構(gòu)化程序 設(shè)計 的思路是 :自頂 而下、逐步求精 。其模 塊化實現(xiàn)的具體方法是使用子程序。 entity sels is port ( d0, d1, d2, d3, a, b:in std_logic: out1 out std_logic ) : end sels。 else d3 when sel= l1 else 39。 ,temp _low 為 39。 可見程序是相當(dāng)簡單而且邏輯清晰的 ,這種自頂向下的設(shè)計方法使一個大型的系統(tǒng)設(shè)計分 解為若干個可操做的模塊 , 易于分工合作 , 并且可以對這些模塊分別進(jìn)行模擬仿真。選用不同功率的發(fā)射管 , 遙控距離可從幾米到幾十米。 紅外光是波長比紅色光的波長 ()還長的光波。 采用近紅外光作為紅外探測遙控的光源,主要因為 : (1) 一般的接收用的光電二極管、光敏三極管大都采用硅半導(dǎo)體材料制作而成,這類管子的接收峰值波長為 780155Onm,即管子對波長為 7801550nm 的紅外光的探測靈敏度最高。其常用的識別或編碼方式有兩種:即頻分制和碼分制。而這種方法只適合指令集簡單的場合。長春工程學(xué)院畢業(yè)設(shè)計(論文) 11 編碼方式主要有頻率調(diào)制、脈寬調(diào)制和脈位調(diào)制,實際上各種紅外系統(tǒng)的主要區(qū)別在于編碼方式的不同。在 綜 合分析的基 礎(chǔ) 上, 我們采 用 紅 外 線編碼 方式 設(shè)計 ,使系 統(tǒng) 具 備 了良好的抗 電 磁干擾的能力。 由于集成電路制造工藝和設(shè)計水平的不斷提高 ,將此類傳統(tǒng)的分立電路功能集成到嵌入式的系統(tǒng)中已成為可能。有 2 個電容均為 120 pF ,晶振頻率為 455 kHz。 MIM R1AA 對接收到的信號除了進(jìn)行了放大、限幅、檢波 ,直至得到遙控指令的脈沖信號外 ,還對解調(diào)出的信號進(jìn)行了整形和反向輸出 [10]。 該生產(chǎn)線有各種大電機設(shè)備,環(huán)境電磁輻射強,又處于南方高溫、高濕地區(qū),對遙控系統(tǒng)整體性能要求很高。譯碼器 部分 有相應(yīng)的 12 位編碼線。 紅外發(fā)送系統(tǒng)的基本組成 整體結(jié)構(gòu) PT2248 作為構(gòu)成發(fā)送器的芯片。由于 PT2248 采用了 38kHz載波振蕩及脈碼調(diào)制電路,因而接收 端采用頻率與之相應(yīng)的 MIMRIAA 紅外一體化接受解調(diào)器。其中 a 為位碼周期的 1/4,一個 a 占空的時間長度為 PT2248 內(nèi)部紅外載波振蕩周期的 16 倍,即 a=16/( 38kHz)。而 7 到18 號單擊按鍵無論發(fā)送端按鍵時間持續(xù)多長,都只發(fā)送一次這樣形式的兩組相同的 12 位編碼。 使用發(fā)光二極管獲得紅外光是相當(dāng)簡便的。 (2) 脈沖發(fā)射方式 :采用脈沖電流驅(qū)動方式,發(fā)出一定占空比的脈沖信號。該模塊把來自紅外接收解調(diào)器的信號解碼成“ 0”和“ 1”,通過移位寄存器把串行數(shù)據(jù)轉(zhuǎn)成并行數(shù)據(jù)輸出,在接收數(shù)據(jù)其間 CON 間歇性地輸出高電平(此信號用處下文詳述),并在接收完十二位串行信號時 READ 輸出高電平,使 DFF_PR 在該信號的上升沿處更新觸發(fā)器的數(shù)據(jù)。 紅 外 遙 控的 編碼發(fā) 送 目前市場上有成百上千的編碼方式并存,沒有一個統(tǒng)一的國際標(biāo)準(zhǔn),只是各芯片廠商事實上的標(biāo)準(zhǔn),在自己的遙控器中使用自己指定的標(biāo)準(zhǔn)。 長春工程學(xué)院畢業(yè)設(shè)計(論文) 16 外部元器件少。 家庭防盜系統(tǒng)。 PT2248 組成的十八路 遙控發(fā)送器其編碼規(guī)則如下: ( 1)設(shè) a為一個時間單位,時間長度是 38kHz的 16個時鐘周期,即 a= 1247。 接收解碼部分用 CPLD通過 VHDL實現(xiàn), 把紅外接收頭送來的二進(jìn)制編碼通過解碼還原出發(fā)送端 的發(fā)送 數(shù)據(jù)。 3. 輸出鎖存器 該輸出鎖存器是一個具有優(yōu)先預(yù)置數(shù)的 5位觸發(fā)器。 5. 按鍵消抖電路 按鍵消抖電路對四位機械按鍵的輸入進(jìn)行彈跳消抖,當(dāng)每一位按鍵被按下時輸出為低電平,按鍵輸入端 KEY只要低電平時間超過兩個時鐘周期則對應(yīng)位上輸出一個時鐘周期的低電平,對于小于兩個時鐘周期的干擾脈沖不作響應(yīng),而大于兩個時鐘周期的長時間連續(xù)低電平也只輸出一個時鐘周期的低電平。專用譯碼芯片一般與專用編碼芯片配對設(shè)計制造。 (2) 地址加密編碼與譯碼器 : 這一類電路無控制數(shù)據(jù)編碼僅有加密地址編譯碼功能。 使用專用的硬件編碼譯碼對芯片 , 簡便可靠 , 使用廣泛 。 長春工程學(xué)院畢業(yè)設(shè)計(論文) 20 5 系統(tǒng)的硬件設(shè)計 硬件的功能描述 遙 控 專用 集成 電 路是 發(fā)射 系 統(tǒng) 的核心部分,它能 產(chǎn) 生 鍵位掃 描 脈沖 信 號 ,并能 譯 出按 鍵的 鍵碼, 再 經(jīng)遙 控指令 編碼 器得到某 鍵位 的 遙 控指令 (遙 控 編碼脈沖 ),由 38KHZ 的 載波進(jìn) 行脈沖幅 度 調(diào) 制, 載有遙 控指令的 調(diào) 制信 號 激 勵紅 外二極 管發(fā) 出 紅 外 遙 控信 號 。 要想紅外遙控譯碼,首先要知道所選編譯碼器的編碼原理。另一類是除具有第一類的功能外,其內(nèi)部設(shè)置有帶通濾波電路,外部不需要接體積大的電感元件等,使得外圍電路更簡單 [8]。此調(diào)幅信號即可加到紅外發(fā)光管驅(qū)動電路來產(chǎn)生紅外光數(shù)據(jù)信號 , 通 常驅(qū)動電路都采用 三極管來實現(xiàn) [11]。 長春工程學(xué)院畢業(yè)設(shè)計(論文) 21 接收控制的設(shè)計 接收 模塊有三個輸入端,分別為 CLK 系統(tǒng)時鐘, DATAIN 數(shù)據(jù)輸入端 ,異步清零端,分別接有源晶振、紅外接收解調(diào)器輸出和來自數(shù)據(jù)同步控制計數(shù)器的清零信號,三個輸出分別為DATAOUT 12 位并行解碼輸出、 CON 數(shù)據(jù)接收指示端、 READ 輸出鎖存器 DFF_PR 的觸發(fā)信號。在工程上, HDL 語言描述的硬件仿真類型可分為功能仿真和時序仿真。在設(shè)計初期,源代碼的修改和功能仿真會不斷地重復(fù)以求得正確的結(jié)果。建立仿真文件的方法有很多種,比較常用的有:建立仿真宏文件、 測試激勵文件、創(chuàng)建波形仿真文件等等,三者的作用相同。激勵文件的源程序也是 HDL 語言描述的,只不過描述的功能是模塊端口輸入信號在何時賦何值。激勵文件的設(shè)計難點是如何全面并且適時地給模塊輸入信號賦值,從而能夠保證將程序運行的所有可能的情況包括在里面,全面地驗證模塊的功能。由于 VHDL 和 EDA 工具軟件平臺的強大功能 ,他使得設(shè)計的許多工作都能在計算機上以軟件仿真的形式完成 ,這樣不僅可以大大縮短產(chǎn)品的開發(fā)周期 ,還可以隨時根據(jù)實際需要更改或者添加新的功能 ,設(shè)計模式更加靈活。 2. 根據(jù)高低 電平 的 脈沖 信 號 , 結(jié)合單 片機的 應(yīng) 用技 術(shù) , 設(shè)計 了硬件 電 路,完成 對紅 外 脈沖 信 號 的 數(shù) 據(jù)采集。 在本系統(tǒng)中 , 選用 集 成專用編譯碼 器件 ED5026 成功地研制出了多信道 紅 外 遙 控系 統(tǒng) 。所開發(fā)的系統(tǒng)具有較強的靈活性和實用性 ,為新型遙控器材的研制做了有益的探索 ,具有一定的參考和借鑒作用。 [2]陳雪松 .騰中 立 .VHDL入門與應(yīng)用 .北京:人民郵電出版社 .20xx。 [6]羅朝霞、高書莉 .CPLD/FPGA設(shè)計及應(yīng)用 .人民郵電出版社。朱明程 , 孫普譯 . 南京 :東南大學(xué)出版社 , 1998 , 5。 [12] 李冬梅,通用學(xué)習(xí) 式紅外遙控發(fā)射器的設(shè)計和開發(fā),清華大學(xué)學(xué)報 。 [16] 余成波,信息理論與編碼,重慶大學(xué)出版社 。 論 文的 實 施以及 論 文的撰 寫 都是在倪老 師 的悉心指導(dǎo) 下完成的,倪老 師嚴(yán)謹(jǐn) 的治 學(xué)態(tài) 度、 淵 博的 學(xué)識 、 讓 我受 益匪淺。 此外,感 謝 父 母對 我 學(xué)業(yè) 的 無私 的支持! 最后,感 謝 所有 幫 助 過 我的人 。 entity ctrl_reg is port(clk,clr,datain : in std_logic。 architecture rtl of ctrl_reg is signal reg : std_logic_vector(11 downto 0)。 低電平寬度計數(shù) variable tframe : integer range 0 to 12。 read = 39。 state = A。 con = 39。 end if。039。 else if(t5)then 通過判斷低電平寬度從而得到 0,1編碼 reg = reg(10 downto 0) amp。039。 end if。039。 tframe := 0。 con = 39。 end if。
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1