freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

fpga課程設(shè)計(jì)-預(yù)覽頁(yè)

 

【正文】 對(duì)比度最弱,接地電源時(shí)對(duì)比度最高,對(duì)比度過(guò)高時(shí)會(huì)產(chǎn)生“鬼影”,使用時(shí)可以通過(guò)一個(gè) 10K 的電位器調(diào)整對(duì)比度 第 4 腳: RS 為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)寄存器、低電平時(shí)選擇指令寄存器。 第 7~ 14 腳: D0~ D7 為 8 位雙向數(shù)據(jù)線。A39。 0x20~ 0x7F 為標(biāo)準(zhǔn)的 ASCII 碼, 0xA0~ 0xFF 為日文字符和希臘文字 符,其余字符碼 (0x10~ 0x1F 及 0x80~ 0x9F)沒(méi)有定義 。 use 。 40MHZ Reset : in std_logic。 enable(en2) data : out std_logic_vector(7 downto 0)。 end yejing2 。//光標(biāo)返回 constantSETMODE : std_logic_vector(10 downto 0) :=00000000100。光標(biāo)不閃爍 constant SHIFT : std_logic_vector(10 downto 0) :=00000011000。兩行顯示 16*2 。//忙標(biāo)志 constant WRITERAM: std_logic_vector(10 downto 0) :=01000000000。 signal div_counter : integer range 0 to 15。 signal data_in : std_logic_vector(7 downto 0)。元件例化語(yǔ)句 signal clk_int: std_logic。 signal clkdiv: std_logic。 顯示左移還是右移 signal zanting: std_logic。 when 39。 end case。=yi=39。=yi=39。 end process。139。039。 process(clk,reset) begin if(reset=39。event and clk=39。 end if。139。039。event and tc_clkt=39。 end process。039。)then clk_int= not clk_int。039。event and clkdiv=39。 end process。 when state =WRITERAM or state = READRAM else 39。 when state =CLEAR or state = RETURNCURSOR or state=SETMODE or state=SWITCHMODE or state=SHIFT or state= SETFUNCTION or state=SETCGRAM or state =SETDDRAM or state =WRITERAM else 39。光標(biāo)不閃爍 0001 amp。左移 00111100 when state=SETFUNCTION else//0x3C//8 位 。 process(clk_int,Reset) begin if(Reset=39。 flag=39。event and clk_int=39。)then state=SETFUNCTION。139。 div_counter=div_counter +1。 //0x81//顯示位移 。兩行顯示 16*2 。光標(biāo)不閃爍 state=CLEAR。 counter=counter+1。 //開(kāi)始位移 end if。 when RETURNCURSOR = state=WRITERAM。 end case。 元件例化的程序: library IEEE。 data : out std_logic_vector(7 downto 0) )。 39。 = result := 33。 when 39。$39。 = result := 37。 = result := 38。 = result := 39。 when 39。*39。 = result := 43。 when 39。.39。 = result := 47。 when 39。239。 = result := 51。 when 39。639。 = result := 55。 when 39。:39。 = result := 59。 when 39。39。 = result := 63。 when 39。B39。 = result := 67。 14 when 39。F39。 = result := 71。 when 39。J39。 = result := 75。 when 39。N39。 = result := 79。 when 39。R39。 = result := 83。 when 39。V39。 = result := 87。 when 39。Z39。 = result := 91。 when 39。^39。 = result := 95。 when 39。b39。 = result := 99。 when 39。f39。 = result := 103。 when 39。j39。 = result := 107。 when 39。n39。 = result := 111。 15 when 39。r39。 = result := 115。 when 39。v39。 = result := 119。 when 39。z39。 = result := 123。 when 39。~39。 return result。) ,8)。 when 0000010 =data=conv_std_logic_vector(char_to_integer (39。839。) ,8)。 when 0000110 =data=conv_std_logic_vector(char_to_integer (39。039。) ,8)。 when 0001010 =data=conv_std_logic_vector(char_to_integer (39。Q39。) ,8)。 when 0001110 =data=conv_std_logic_vector(char_to_integer (39。 139。) ,8)。 when 0010010 =data=conv_std_logic_vector(char_to_integer (39。 439。) ,8)。 when 0010110 =data=conv_std_logic_vector(char_to_integer (39。 39。) ,8)。 when 0011010 =data=conv_std_logic_vector(char_to_integer (39。 39。) ,8)。 when 0011110 =data=conv_std_logic_vector(char_to_integer (39。839。) ,8)。 when 0100010 =data=conv_std_logic_vector(char_to_integer (39。239。) ,8)。 when 0100110 =data=conv_std_logic_vector(char_to_integer (39。 N39。) ,8)。 when 0101011 =data=conv_std_logic_vector(char_to_integer (39。239。) ,8)。 when 0101111 =data=conv_std_logic_vector(char_to_integer (39。039。) ,8)。 when 0110011 =data=conv_std_logic_vector(char_to_integer (39。S39。) ,8)。 when others =data=conv_std_logic_vector(char_to_integer (39。 end process??傊?,此次課程設(shè)計(jì)大伙都受益匪淺,感受頗多?。。? 七. 參考文獻(xiàn): 《單片機(jī)接口技術(shù)》
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1