freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

fpga課程設(shè)計(已修改)

2025-06-09 15:29 本頁面
 

【正文】 1 一. 設(shè)計目的: 熟練掌握和使用基于 CPLD/FPGA 的數(shù)字系統(tǒng)開發(fā)工具、開發(fā)流程,能 夠自主進行成熟的基于 CPLD/FPGA 的數(shù)字系統(tǒng)設(shè)計 , 能夠 發(fā)現(xiàn)和 獨立解 決 開發(fā)過程中遇到的問題。 了解時序電路 FPGA 的實現(xiàn)。 學(xué)習(xí)用 VHDL 語言來描述時序電路的過程。 了解 LCD1602 液晶顯示器的工作原理。 二.設(shè)計環(huán)境: QUARTUSH 軟件 MARS1270 CPLD 實驗版 1602 液晶 MARS1270 CPLD 實驗版是針對 CPLD/FPGA 的初中級學(xué)者設(shè)計,該板可 以配合其擴展板進行相應(yīng)的實驗,也可以作為相關(guān) CPLD/FPGA 研發(fā)的原型。核心板簡單實用擴展性好,板上提供 ISP 接口,并將 CPLD/FPGA 的部分 IO引腳引出,為用戶提供一個幫助用戶快速開始可編程邏輯器件學(xué)習(xí)之旅的硬件平臺。 三. 設(shè)計要求: 要求用 1602 液晶顯示字符; 顯示內(nèi)容:學(xué)號 +英文姓名; 顯示方式:流動顯示,開關(guān)控制字 符流動速度及方向;具有暫停和清屏 的功能; 擴展部分:設(shè)計漢字字符及其他功能 2 四.設(shè)計思路及實現(xiàn)方法 1. 下圖所示 1602 字符液晶接口與實驗板內(nèi)部相連之圖 上圖所示是從 MARS1270 CPLD實驗版 的手冊上截取的 1602與實驗板相連的圖, 1,2,3,15,16 均與實驗板內(nèi)部電壓相連,顯示時只需注意給 1602 的 414 管腳賦值。 3 ( 1) 1602 引腳定義 1602 采用標(biāo)準(zhǔn)的 16 腳接口,其中 : 第 1 腳: VSS 為地電源 第 2 腳: VDD 接 5V 正電源 第 3 腳: V0 為液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地電源時對比度最高,對比度過高時會產(chǎn)生“鬼影”,使用時可以通過一個 10K 的電位器調(diào)整對比度 第 4 腳: RS 為寄存器選擇,高電平時選擇數(shù)據(jù)寄存器、低電平時選擇指令寄存器。 第 5 腳: RW 為讀寫信號線,高電平時進行讀操作,低電平時進行寫操作。當(dāng) RS 和 RW 共同為低電平時可以寫入指令或者顯示地址,當(dāng) RS 為低電平 RW為高電平時可以讀忙信號,當(dāng) RS 為高電平 RW 為低電平時可以 寫入數(shù)據(jù)。 第 6 腳: E 端為使能端,當(dāng) E 端由高電平跳變成低電平時,液晶模塊執(zhí)行命令。 第 7~ 14 腳: D0~ D7 為 8 位雙向數(shù)據(jù)線。 第 15~ 16 腳:空腳 4 ( 2) 1602 實際寫時每位的地址 第一行:首地址為 80H,” 10000000” ,最多能顯示 27 個數(shù);尾地址為A7H,” 10100111” 第二行:首地址為 C0H,” 11000000” , 最多能顯示 27 個數(shù);尾地址為 E7H,” 11100111” (3) 1602 內(nèi)部 1602 液晶模塊內(nèi)部的字符發(fā)生存儲器( CGROM)已經(jīng)存儲了 160 個不同的點陣字符圖形,如表 1 所示,這些字符有:阿拉伯?dāng)?shù)字、英文字母的大小寫、常用 的符號、和日文假名等,每一個字符都有一個固定的代碼,比如大寫的英文字母“ A”的代碼是 01000001B( 41H),顯示時模塊把地 址41H 中的點陣字符圖形顯示出來,我們就能看到字母“ A” LCD1602 的工作時序可查閱其他資料 下圖為 CGROM 中字符碼與字符字模關(guān)系對照表 從下圖可以看出,“ A”字的對應(yīng)上面高位代碼為 0100,對應(yīng)左邊低位代碼為 0001,合起來就是 01000001,也就是 41H。可 見它的代碼與我們PC 中的字符代碼是基本一致的。因此我們在向 DDRAM 寫 C51 字符代碼程序時甚至可以直接用 P1= 39。A39。這樣的方法。 PC 在編譯時就把“ A”先轉(zhuǎn)為 41H 代碼了。 字符代碼 0x00~ 0x0F 為用戶自定義的字符圖形 RAM(對于 5X8 點陣的字符,可以存放 8 組, 5X10 點陣的字符,存放 4 組 ),就是 CGRAM 了 。 0x20~ 0x7F 為標(biāo)準(zhǔn)的 ASCII 碼, 0xA0~ 0xFF 為日文字符和希臘文字 符,其余字符碼 (0x10~ 0x1F 及 0x80~ 0x9F)沒有定義 。 ( 4)對 DDRAM 的內(nèi)容和地址進行操作 的指令(只介紹本次設(shè)計中涉及的一些) 一.清屏指令:使液晶不顯示任何東西 二 .設(shè)定顯示屏或光標(biāo)移動方向指令 5 三.顯示模式設(shè)置:寫程序時從 38H 開寫,是使液晶處于開始狀態(tài) 四 .暫停及流動 指令碼 功能 0 0 0 1 S x 0 0 若 S=1,則靜止不動;為 0,流動顯示 注:上述四個功能均在 RS 為 0 時方可寫入;若 RS 為 1,則可寫入在 1602上顯示字符的代碼; E 一般選取系統(tǒng)時鐘分頻后的時鐘; 2. 設(shè)計 思路及實現(xiàn)方法 . 根據(jù)寫 1602 的一般順序:( 1)開始 38H.( 2)顯示模式設(shè)置 .( 3)顯示關(guān)閉 08H.( 4)顯示清屏 01H.( 5)顯示光標(biāo)移動設(shè)置 06H.( 6)顯示開及光標(biāo)移動設(shè)置 0CH 根據(jù)這幾步?jīng)Q定用 VHDL 語言中的狀態(tài)機描述方式進行編寫程序,與其他描述方式相比,狀態(tài)機的表述豐富多樣,程序?qū)哟畏置?,結(jié)構(gòu)清晰,易讀易懂; 其中,在設(shè)計程序的過程中,由于程序包含地址和顯示內(nèi)容,考慮用到元件例化語句,將每個地址要顯示的內(nèi)容存到相應(yīng)的元件例化語句中去。元件例化就是將預(yù)先設(shè)計好的設(shè) 計實體定義為一個元件,然后利用特定的語句將此元件與當(dāng)前的設(shè)計實體中的指定端口相連接。 6 7 五.程序源文件與設(shè)計結(jié)果分析 :學(xué)號 +英文姓名 library IEEE。 use 。 use 。 use 。 entity yejing2 is Port ( clk : in std_logic。 40MHZ Reset : in std_logic。 rst lcd_rs : out std_logic。 data/mingling (en0) lcd_rw : out std_logic。 read/write(en1) lcd_e : buffer std_logic。 enable(en2) data : out std_logic_vector(7 downto 0)。//data shumaguan key:in std_logic。 撥碼開關(guān) 0 key1:in std_logic。 撥碼開關(guān) 1 key2:in std_logic 撥碼開關(guān) 2 )。 end yejing2 。 architecture Behavioral of yejing2 is constant IDLE : std_logic_vector(10 downto 0) :=00000000000。//閑置 constant CLEAR : std_logic_vector(10 downto 0) :=00000000001。//清屏 constantRETURNCURSOR:std_logic_vector(10downto0) :=00000000010 。//光標(biāo)返回 constan
點擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計相關(guān)推薦
文庫吧 www.dybbs8.com
公安備案圖鄂ICP備17016276號-1