freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

fpga課程設(shè)計(jì)-wenkub

2023-05-27 15:29:43 本頁面
 

【正文】 clkdiv=not clkdiv。039。039。 end process。)then if(clkt=divt)then // t=498752*25ns= clkt=0000000000000000000。)then clkt=0000000000000000000。 end case。039。139。 when others=null。 when 39。 process(key1)—該部分控制液晶 整屏左移還是右移 1 是左移, 0 右移 9 begin case key1 is when 39。=k=5。039。 signal k: integer。 constant divt: std_logic_vector(18 downto 0):=1111001110001000000。 data : out std_logic_vector(7 downto 0) )。 constant DIVSS : integer :=15。//從CGRAM 或者 DDRAM 讀數(shù) signal state : std_logic_vector(10 downto 0)。//字符發(fā)生存儲器地址 ACG constantSETDDRAM:std_logic_vector(10downto 0) :=00010000000。左移 constantSETFUNCTION:std_logic_vector(10downto0) :=00000100000。/顯示開關(guān) //0x0c//開顯示 。//閑置 constant CLEAR : std_logic_vector(10 downto 0) :=00000000001。 撥碼開關(guān) 0 key1:in std_logic。 data/mingling (en0) lcd_rw : out std_logic。 use 。元件例化就是將預(yù)先設(shè)計(jì)好的設(shè) 計(jì)實(shí)體定義為一個(gè)元件,然后利用特定的語句將此元件與當(dāng)前的設(shè)計(jì)實(shí)體中的指定端口相連接。 PC 在編譯時(shí)就把“ A”先轉(zhuǎn)為 41H 代碼了???見它的代碼與我們PC 中的字符代碼是基本一致的。當(dāng) RS 和 RW 共同為低電平時(shí)可以寫入指令或者顯示地址,當(dāng) RS 為低電平 RW為高電平時(shí)可以讀忙信號,當(dāng) RS 為高電平 RW 為低電平時(shí)可以 寫入數(shù)據(jù)。核心板簡單實(shí)用擴(kuò)展性好,板上提供 ISP 接口,并將 CPLD/FPGA 的部分 IO引腳引出,為用戶提供一個(gè)幫助用戶快速開始可編程邏輯器件學(xué)習(xí)之旅的硬件平臺。 了解時(shí)序電路 FPGA 的實(shí)現(xiàn)。 學(xué)習(xí)用 VHDL 語言來描述時(shí)序電路的過程。 三. 設(shè)計(jì)要求: 要求用 1602 液晶顯示字符; 顯示內(nèi)容:學(xué)號 +英文姓名; 顯示方式:流動(dòng)顯示,開關(guān)控制字 符流動(dòng)速度及方向;具有暫停和清屏 的功能; 擴(kuò)展部分:設(shè)計(jì)漢字字符及其他功能 2 四.設(shè)計(jì)思路及實(shí)現(xiàn)方法 1. 下圖所示 1602 字符液晶接口與實(shí)驗(yàn)板內(nèi)部相連之圖 上圖所示是從 MARS1270 CPLD實(shí)驗(yàn)版 的手冊上截取的 1602與實(shí)驗(yàn)板相連的圖, 1,2,3,15,16 均與實(shí)驗(yàn)板內(nèi)部電壓相連,顯示時(shí)只需注意給 1602 的 414 管腳賦值。 第 6 腳: E 端為使能端,當(dāng) E 端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行命令。因此我們在向 DDRAM 寫 C51 字符代碼程序時(shí)甚至可以直接用 P1= 39。 字符代碼 0x00~ 0x0F 為用戶自定義的字符圖形 RAM(對于 5X8 點(diǎn)陣的字符,可以存放 8 組, 5X10 點(diǎn)陣的字符,存放 4 組 ),就是 CGRAM 了 。 6 7 五.程序源文件與設(shè)計(jì)結(jié)果分析 :學(xué)號 +英文姓名 library IEEE。 entity yejing2 is Port ( clk : in std_logic。 read/write(en1) lcd_e : buffer std_logic。 撥碼開關(guān) 1 key2:in std_logic 撥碼開關(guān) 2 )。//清屏 constantRETURNCURSOR:std_logic_vector(10downto0) :=00000000010 。不顯示光標(biāo) 。//功能設(shè)置 //0x3C//8 位 。//設(shè)置 DDRAM( 顯示數(shù)據(jù) RAM) 的地址//0x80 顯 示 數(shù) 據(jù) 儲 存 器 地 址 ADD //0xc0 顯示數(shù)據(jù)儲存器地址 ADD 第 8 二行開頭 constant READFLAG : std_logic_vector(10 downto 0) :=00100000000。 signal counter : integer range 0 to 127。 signal char_addr: std_logic_vector(6 downto 0)。 end ponent。 //498752 signal a:integer。 顯示步進(jìn) signal yi: std_logic。=k=1。 when others=null。139。039。 end case。=zanting=39。=zanting=39。 end process。 elsif(clk39。 else clkt=clkt+k。 tc_clkt=39。 process(tc_clkt,reset) begin if(reset=39。 elsif(tc_clkt39。 //翻轉(zhuǎn)時(shí)鐘 t=25ms f=40hz end if。)then 10 clk_int=39。139。 process(clkdiv,reset) begin if(reset=39。 elsif(clkdiv39。 //使能信號翻轉(zhuǎn) t=100ms f=10hz end if。139。039。不顯示光標(biāo) 。00 when state = SHIFT else //0x81//顯示位移 。 char_addr =conv_std_logic_vector( counter,7) when state =WRITERAM and counter40 else //默認(rèn)第一個(gè)字符地址為 0x80 // 039 conv_std_logic_vector( counter,7) when state= WRITERAM and counter40 and counter88 else //counter40 and counter73 相當(dāng)于在第二行中后移 8 個(gè)字符顯示以區(qū)分第一行 839 conv_std_logic_vector( counter,7) when state= WRITERAM and counter88 and counter89 else //counter73 and counter81 17 0000001。 counter=0。 elsif(clk_int39。039。 flag=39。 else if(div_counterDIVSS )then //constant DIVSS : int
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1