freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

fpga交通燈畢業(yè)設(shè)計(jì)論文-全文預(yù)覽

  

【正文】 8 end if。 elsif m=4 then aa := 00011000。 if m = 0 then aa := 01001000。 then if m = 7 then m = 0。 then numa = 0。 end if。 numb = 20countnum。 else aa := 00101000。 end if。 numa =65countnum。 else aa := 00101000。039。 begin if (clk139。 rb,gb,yb,gb1: out std_logic)。 con2 : in std_logic。 use 。 end if。 else if countnum=89 then countnum = 0。 then countnum = 0。 countnum:buffer integer range 0 to 89)。 use 。 end process。 clk_temp = not clk_temp。139。 begin process(clk) variable counter : std_logic_vector(2 downto 0)。 entity clk_10 is port( clk : in std_logic。 library ieee。 u8:yima port map (clk2=b,bb=tt2,ya=count2(0),yb=count2(1),yc=count2(2),yd=count2(3),ye=count2(4),yf=count2(5),yg=count2(6))。 u4:controller port map (clk1=c,con1=cond,con2=con1d,countnum=ww,numa=yy1,numb=yy2,ra=reda,ga=greena,ya=yellowa,ga1=greena1,rb=redb,gb=greenb,yb=yellowb,gb1=greenb1)。 begin u0: clk_10 port map(clk=clkd,clk_div10=a)。 signal a,b,c:std_logic。 ye:out std_logic。 ya:out std_logic。 numa,numb:out integer range 0 to 9 )。 ra,ga,ya,ga1: out std_logic。 2020 屆電子信息工程專業(yè)畢業(yè)設(shè)計(jì)(論文) 13 con1 : in std_logic。 reset:in std_logic。 clk_div10 : out std_logic)。 reda,greena,yellowa,greena1: out std_logic。 count1:out std_logic_vector(6 downto 0)。 library ieee。 redb,greenb,yellowb,greenb1: out std_logic)。 count2:out std_logic_vector(6 downto 0)。 end display。 yd:out std_logic。 bb: in std_logic_vector(3 downto 0)。 numa,numb:out integer range 0 to 9 )。 rb,gb,yb,gb1: out std_logic)。 con2 : in std_logic。 countnum:buffer integer range 0 to 80)。 end clk_10。七段數(shù)碼管的譯碼電路根據(jù)控制電路的控制信號(hào),驅(qū)動(dòng)交通燈的顯示,通過輸入二進(jìn)制數(shù)值,輸出信號(hào)點(diǎn)亮二極管,我們用的是共陽(yáng)極數(shù)碼管,因此譯碼電路輸出邏輯數(shù)值‘ 0’點(diǎn)亮二極管,譯碼電路輸出邏輯數(shù)值‘ 1’熄滅二極管。當(dāng)檢測(cè)到手動(dòng)控制信號(hào)( Con=’ 1’ )時(shí),執(zhí)行手動(dòng)控制; 計(jì)數(shù)器電路:這里需要的計(jì)數(shù)器的計(jì)數(shù)范圍為 0~ 89。根據(jù)實(shí)驗(yàn)設(shè)計(jì)的結(jié)構(gòu)功能,來(lái)確定使用哪些模塊以及這些模塊之間的關(guān)系。 :設(shè)計(jì)的總體方案 根據(jù)設(shè)計(jì)要求和系統(tǒng)所具有功能,并參考相關(guān)的文獻(xiàn)資料經(jīng)行方案設(shè)計(jì)畫出如下所示的十字路口交通燈控制器系統(tǒng)框圖,及為設(shè)計(jì)的總體方案! 系統(tǒng)框圖由 6 部分組成,包括:分頻、控制、倒計(jì)時(shí)、紅綠燈顯示、譯碼、譯碼顯示等模塊。 圖 21 四種燈的循環(huán)順序 使用基于 FPGA的方法具有周期短、使用靈活、易于修改等明顯的優(yōu)點(diǎn)。 吳思林:基于 FPGA 交通燈控制器設(shè)計(jì) 4 :系統(tǒng)分析 通過分析可以知道,所要設(shè)計(jì)的十字路口交通燈控制電路要能夠使南北、東西各四個(gè)燈(紅、黃、綠、左轉(zhuǎn)),四個(gè)燈能夠按順序依次亮滅。其中每個(gè)方向有左轉(zhuǎn)燈、紅燈、綠燈和黃燈,共四盞。以及 LED 發(fā)光 并將程序 在 QuartusⅡ 軟件環(huán)境中設(shè)計(jì)、仿真 。用 VHDL 進(jìn)行電子系統(tǒng)設(shè)計(jì)的一個(gè)很大優(yōu)點(diǎn)是設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需對(duì)不影響功能的與工藝有關(guān)的因素花費(fèi)過多的時(shí)間和精力。自 IEEE公布了 VHDL的標(biāo)準(zhǔn)版本之后,各 EDA公司相繼推出了自己的 VHDL設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具支持 VHDL。 :硬件描述語(yǔ)言 VHDL 硬件描述語(yǔ)言 HDL是 EDA技術(shù)的重要組成部分,常見的 HDL 有下列幾種: VHDL、 Verilog HDL、System Verilog、和 System C。 FPGA 內(nèi)部有豐富的觸發(fā)器和 I/O 引腳。 用戶可以反復(fù)的編程,擦除,使用或者在外圍電路不動(dòng)的情況下用不同軟件就可實(shí)現(xiàn)不同的功能。比較典型的就是 Altera公司和 Xilinx公司的 CPLD器件系列和 FPGA器件系列,他們開發(fā)較早,占用了較大的 PLD市場(chǎng)。 FPGA(現(xiàn)場(chǎng)可編程門陣列 )和 CPLD(復(fù)雜可編程邏輯器件)都是可編程邏輯器件,他們是在PAL,GAL等邏輯器件的基礎(chǔ)上發(fā)展起來(lái)的。各種交通控制方案,最終都要由路口信號(hào)控制器來(lái)實(shí)現(xiàn)。探究城市交通發(fā)展中存在問題的原因,無(wú)論是從宏觀上還是從微觀上分析,其根本原因在于城市交通系統(tǒng)的管理機(jī)制不適應(yīng)。2020 屆電子信息工程專業(yè)畢業(yè)設(shè)計(jì)(論文) 11 概述 概述 隨著世界范圍內(nèi)城市化和機(jī)動(dòng)化 進(jìn)程的加快,城市交通越來(lái)越成為一個(gè)全球化的問題。如何解決城市交通問題已成為全社會(huì)關(guān)注的焦點(diǎn)和大眾的迫切呼聲。路口信號(hào)控制器是控制交叉路口交通信號(hào)的設(shè)備,它是交通信號(hào)控制的重要組成部分。 利用 EDA技術(shù) 設(shè)計(jì)交通燈來(lái)完成這個(gè)需求就顯的 更 加迫切 ,同樣也是非常的實(shí)用和合理。這種芯片 收到世界范圍內(nèi)電子工程設(shè)計(jì)人員的廣泛關(guān)注和普遍歡迎。所以,F(xiàn)PGA/CPLD的資金投入就少,減少了潛在的花費(fèi)。 :FPGA 設(shè)計(jì)交通燈的意義 FPGA是一個(gè)功能強(qiáng)大的可編程邏輯芯片,采用 FPGA設(shè)計(jì) ASIC 電路,用戶不需要投片生產(chǎn),吳思林:基于 FPGA 交通燈控制器設(shè)計(jì) 2 就能得到所需的芯片。所以用 FPGA完成交通燈的設(shè)計(jì)合理可行。從此, VHDL 成為硬件描述語(yǔ)言的業(yè)界標(biāo)準(zhǔn)之一。 VHDL 具有與具體硬件電路無(wú)關(guān)和設(shè)計(jì)平臺(tái)無(wú)關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力, 在語(yǔ)言易讀性和層次化結(jié)構(gòu)化設(shè)計(jì)方面表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。硬件設(shè)計(jì)采用的是 FPGA器件 CycloneII系列 EP2C5T144,要在硬件中實(shí)現(xiàn)交通燈的功能首先要在軟件中仿真成功,然后設(shè)置芯片的管教和程序端口的對(duì)應(yīng)關(guān)系。東西方向的交通信號(hào)燈狀態(tài)保持一致,南北方向的交通信號(hào)燈狀態(tài)也保持一致。十字路口交通燈如圖 21所
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1