freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的步進(jìn)電機(jī)的pwm控制細(xì)分驅(qū)動(dòng)的實(shí)現(xiàn)-全文預(yù)覽

2025-07-09 15:43 上一頁面

下一頁面
  

【正文】 wnto 0)。end。 else agb=39。 agb:out std_logic)。end behav。end if。 then if u_d=39。 then cqi=cqi。end t32。use 。 end process。event and clk=39。 cq:out std_logic_vector(3 downto 0))。附錄2:十六進(jìn)制計(jì)數(shù)器library ieee。cmp4:cmp port map(a=sgn3(3 downto 0),b=sgn1,agb=f(0))。u3:pwm_rom port map(inclock=clk_d,address=sgn2,q=sgn3)。signal sgn3:std_logic_vector(15 downto 0)。 y:out std_logic_vector(3 downto 0))。 agb:out std_logic)。 inclock : IN STD_LOGIC 。 ponent t32 port(clk,en,u_d:in std_logic。 ponent dec2 port(clk: in std_logic。end entity djxf。use 。   對(duì)噪聲抵抗能力的增強(qiáng)是PWM相對(duì)于模擬控制的另外一個(gè)優(yōu)點(diǎn),而且這也是在某些時(shí)候?qū)WM用于通信的主要原因。只要帶寬足夠,任何模擬值都可以使用PWM進(jìn)行編碼。通過高分辨率計(jì)數(shù)器的使用,方波的占空比被調(diào)制用來對(duì)一個(gè)具體模擬信號(hào)的電平進(jìn)行編碼。試驗(yàn)證明,此系統(tǒng)功能滿足設(shè)計(jì)要求,控制靈活,集成度高。由于PWM的輸入時(shí)鐘頻率較高,因此可以獲得均勻的平均電流,并且每一步的時(shí)間間隔相等,轉(zhuǎn)角近似均勻。 圖10 仿真波形2圖11和圖9對(duì)比,可以看出,當(dāng)u_d為高電平時(shí),ROM表中輸出的數(shù)據(jù)和圖9順序相反,輸出的PWM波形也和圖9相反。從A到AB到B共經(jīng)過了8拍,實(shí)現(xiàn)了步距角的8級(jí)細(xì)分。首先,步進(jìn)電機(jī)A相導(dǎo)通,B、C、D相截止,q[15..0]輸出數(shù)據(jù)為F000;A相的數(shù)據(jù)為F,其他相的數(shù)據(jù)為0。ROM輸出的16位數(shù)據(jù)q[15..0],作為步進(jìn)電機(jī)各相電流的參考值,每4位二進(jìn)制(l位八進(jìn)制)數(shù)值控制一個(gè)相,分別用于控制步進(jìn)電機(jī)A、B、C,D四相的工作電流。程序見附錄4 圖6 比較器圖7為多路選擇器,利用s端可以選擇控制步進(jìn)電機(jī)的運(yùn)轉(zhuǎn)方式,s=0時(shí),步進(jìn)電機(jī)為PWM控制,s=1是步進(jìn)電機(jī)的普通運(yùn)轉(zhuǎn)方式。計(jì)數(shù)器的VHDL語言程序見附錄2. 圖4 十六進(jìn)制計(jì)數(shù)器 圖6為三十二進(jìn)制計(jì)數(shù)器RTL圖,可以輸出五位二進(jìn)制的地址,以便可以查詢ROM表。輸出細(xì)分電流信號(hào)采用FPGA中ROM查表法,它是通過在不同地址單元內(nèi)寫入不同的PWM數(shù)據(jù),用地址選擇來實(shí)現(xiàn)不同通電方式下的可變步距細(xì)分。為此,要在設(shè)計(jì)中提高LPM_ROM的數(shù)據(jù)精度,將數(shù)據(jù)提高到16位,使輸出的步進(jìn)細(xì)分電流近似為正弦電流,這樣不僅提高了步距精度,而且可以改善低頻震蕩。磁場(chǎng)的邊界條件按齒槽情況呈周期性重復(fù)是導(dǎo)致微步距周期性變化的原因。PWM信號(hào)隨ROM數(shù)據(jù)而變化,改變ROM中的數(shù)據(jù)就可以改變輸出信號(hào)的占空比,實(shí)現(xiàn)限流及細(xì)分控制,最終使電機(jī)繞組電流呈現(xiàn)階梯形變化,從而達(dá)到步距細(xì)分的目的。當(dāng)PWM計(jì)數(shù)器的計(jì)數(shù)值小于波形ROM輸出數(shù)值時(shí),比較器輸出高電平;而當(dāng)大于波形ROM輸出值時(shí),比較器輸出低電平。也可以說,步距角的細(xì)分就是電機(jī)繞組電流的細(xì)分,從而可驅(qū)動(dòng)步進(jìn)電機(jī)平滑運(yùn)行。Altera在Quartus II 中包含了許多諸如SignalTap II、Chip Editor和RTL Viewer的設(shè)計(jì)輔助工具,集成了SOPC和HardCopy設(shè)計(jì)流程,并且繼承了Maxplus II 友好的圖形界面及簡(jiǎn)便的使用方法。對(duì)第三方EDA工具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三放EDA工具。三、Quartus II概述Quartus II 是Altera公司的綜合性PLD開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。與單四拍方式相比,α值從2增加到8,步距角θb為單四拍運(yùn)行方式時(shí)的1/8。如對(duì)于四相步進(jìn)電機(jī)來說,單四拍或雙四拍運(yùn)行時(shí),α = l ;當(dāng)電機(jī)以四相八拍運(yùn)行時(shí),α=2,實(shí)現(xiàn)了步距角的二細(xì)分。一般的,當(dāng)步進(jìn)電機(jī)的內(nèi)部磁場(chǎng)變化一周時(shí),電機(jī)的轉(zhuǎn)子轉(zhuǎn)過一個(gè)齒距,即改變一次通電狀態(tài),轉(zhuǎn)子轉(zhuǎn)過一個(gè)步距角。若改變控制波形表的數(shù)據(jù)、增加計(jì)數(shù)器和比較器的位數(shù),提高計(jì)數(shù)精度,就可以提高PWM波形的細(xì)分精度,進(jìn)而對(duì)步進(jìn)電機(jī)的步進(jìn)轉(zhuǎn)角進(jìn)行任意級(jí)細(xì)分,實(shí)現(xiàn)轉(zhuǎn)角的精確控制。受單片機(jī)工作頻率的限制,細(xì)分?jǐn)?shù)不是很高,因此控制器的精度較低,控制性能不是很理想。具有定位精度高、慣性小、無積累誤差、啟動(dòng)性能好、易于控制、價(jià)格低廉及與計(jì)算機(jī)接口方便等優(yōu)點(diǎn),被廣泛應(yīng)用于數(shù)控系統(tǒng)中。實(shí)驗(yàn)證明,所研制的步進(jìn)電機(jī)驅(qū)動(dòng)器不僅體積小,簡(jiǎn)化了系統(tǒng)的設(shè)計(jì),減少了延遲,改善了低頻特性,有良好的適應(yīng)性和自保護(hù)能力,提高了驅(qū)動(dòng)器的穩(wěn)定性和可靠性。利用FPGA中的嵌入式EAB構(gòu)成LPMROM,存放步進(jìn)電機(jī)各相細(xì)分電流所需的PWM控制波形數(shù)據(jù)表,并通過FPGA設(shè)計(jì)的數(shù)字比較器,同時(shí)產(chǎn)生多路PWM電流波形,實(shí)現(xiàn)對(duì)步進(jìn)電機(jī)轉(zhuǎn)角進(jìn)行均勻細(xì)分控制。 FPGA一、引言步進(jìn)電機(jī)是把脈沖信號(hào)轉(zhuǎn)換成角位移或直線位移的執(zhí)行元件,是一種輸出與輸入數(shù)字脈沖相對(duì)應(yīng)的增量驅(qū)動(dòng)元件。但是傳統(tǒng)的步進(jìn)電機(jī)驅(qū)動(dòng)大多數(shù)采用的是用單片機(jī)作為控制芯片,外加分立的數(shù)字邏輯電路和模擬電路構(gòu)成。利用FPGA中的嵌入式EAB可以構(gòu)成存放電機(jī)各相電流所需的控制波形表,再利用數(shù)字比較器同步產(chǎn)生多路FPGA電流波形,對(duì)多相步進(jìn)電機(jī)進(jìn)行控制。當(dāng)步進(jìn)電機(jī)的A、B、C、D四相輪流通電時(shí),步進(jìn)電機(jī)內(nèi)部磁場(chǎng)從TA→TB→TC→TD,即磁場(chǎng)產(chǎn)生了旋轉(zhuǎn)。在無細(xì)分的步進(jìn)電機(jī)驅(qū)動(dòng)系統(tǒng)中,通過各相繞組的電流幅值是不變化的,僅在各相通電狀態(tài)變化時(shí),α值才相應(yīng)地變化。圖1所示為四相步進(jìn)電機(jī)八細(xì)分時(shí)各相電流波形,各相電流均以最大電流值的1/4上升和下降。iA和iB的變化曲線可描述為:iA = Imcosx iB = Imsinx三相步進(jìn)電機(jī)八細(xì)分時(shí)的各相電流是以1/4的步距上
點(diǎn)擊復(fù)制文檔內(nèi)容
規(guī)章制度相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1