freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的點(diǎn)陣掃描程序-全文預(yù)覽

2025-11-29 02:15 上一頁面

下一頁面
  

【正文】 end case。 when 100=hang=11110111。 model139。 end dianzhen。 entity dianzhen is port( d_mode: in std_logic_vector(1 downto 0)。 end c。 end if。 elsif c_mode=10 then c_lie(4 downto 0)=c_in(7 downto 3)。s hang c_hang(2 downto 0)=c_in(5 downto 3)。 architecture c of contr is 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 7 signal c_lie: std_logic_vector(4 downto 0):=00000。 entity contr is port( c_mode: in std_logic_vector(1 downto 0)。 end f。 end if。139。139。 f_ctrl:out std_logic_vector(9 downto 0) )。 use 。 圖 6 點(diǎn)陣電路原理 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 5 B狀態(tài)的分解如下圖所示: 列電平( hang)總是按照以下這 8 個(gè)狀態(tài)在循環(huán)掃描,在某一時(shí)刻只有一個(gè)列電平為 0,其余均為高電平: hang(1) = 01111111 hang(2) = 10111111 hang(3) = 11011111 hang(4) = 11101111 hang(5) = 11110111 hang(6) = 11111011 hang(7) = 11111101 hang(8) = 11111110 行電平( row)則控制要顯示的內(nèi)容。 此狀態(tài)在某一時(shí)刻只有一個(gè)點(diǎn)在亮,比較簡單,不做過多分析。那么 當(dāng)一行同時(shí)有超過一個(gè)以上的燈亮?xí)r,每個(gè)燈都會(huì)分走電流,導(dǎo)致每行燈點(diǎn)亮多時(shí)就變暗,少時(shí)就變亮。另外,系統(tǒng)的大狀態(tài)只有上述的 A、 B、 C 四種,而每 種大狀態(tài)中,有包含有多個(gè)循環(huán)的小狀態(tài),這部分內(nèi)容將在下面的“點(diǎn)陣顯示原理設(shè)計(jì)”中詳細(xì)分析。因此可以把計(jì)數(shù)的值直接用于控制點(diǎn)陣的顯示。數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 1 基于 VHDL的點(diǎn)陣掃描程序 【 系統(tǒng)設(shè)計(jì) 】 1.采用自頂向下( topdown)設(shè)計(jì)法,分為以下三個(gè)步驟: ? Step 1: 確定初步方案,進(jìn)行系統(tǒng)設(shè)計(jì)和描述 : 1) 系統(tǒng)結(jié)構(gòu)框圖 : 繪出系統(tǒng)結(jié)構(gòu)框圖如下所示: 2) 分析: 系統(tǒng)輸入信號(hào): a. 輸入控制信號(hào):控制點(diǎn)陣顯示 內(nèi)容 幾種狀態(tài)的轉(zhuǎn)換; b. 時(shí)鐘輸入:實(shí)驗(yàn)中我所使用的實(shí)驗(yàn)板高頻時(shí)鐘為 1MHz; 系統(tǒng)輸出信號(hào): 點(diǎn)陣上顯示的各點(diǎn)亮暗信息就是系統(tǒng)輸出信息。 1) 方框圖(模塊劃分): 圖 1 點(diǎn)陣顯示系統(tǒng)結(jié)構(gòu)框圖 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 2 2) 分析: : 由于系統(tǒng)可用單元為 128 宏個(gè)單元,所以為了節(jié)約使用量,采取用二進(jìn)制向量來計(jì)數(shù),同時(shí)用向量特定位的狀態(tài)來作為分頻標(biāo)志,經(jīng)計(jì)算可得若定義一個(gè) 25位的二進(jìn)制數(shù),則 18 號(hào)位的 0、 1 變化可作為 4Hz 的時(shí)鐘高低電平變化標(biāo)志,以此類推 19號(hào)位可作為 2Hz 的時(shí)鐘高低電平變化標(biāo)志, 21號(hào)位可作為 的時(shí)鐘高低電平的變化標(biāo)志。 2) MDS 圖: 輸入控制信號(hào) m_mode 為二維向量,在手動(dòng)模式下控制顯示的模式 Auto為自動(dòng)顯示的使能信號(hào) 繪出 MDS圖,如下所示: Auto=0,m_mode=00 Auto=0,m_mode=01 Auto=1,m_mode=01 Auto=1,m_mode=00 auto=1,m_mode=10 auto=1,m_mode=11 auto=0,m_mode=11 auto=0,m_mode=10 通過兩個(gè)撥碼開關(guān)來 輸入 m_mode 實(shí)現(xiàn)狀態(tài)在 A、 B、 C之間的轉(zhuǎn)移控制。 2. 點(diǎn)陣顯示原理設(shè)計(jì): A B C D 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 4 1) 點(diǎn)陣顯示原理分析: 8 8 點(diǎn)陣是由如圖所示的 64 個(gè)發(fā)光二極管構(gòu)成的,當(dāng)相應(yīng)二極管的行電平為高電平,列電平為低電平時(shí),二極管導(dǎo)通發(fā)亮,所以 8個(gè)列使用一個(gè)行驅(qū)動(dòng)。 2) 顯示內(nèi)容設(shè)計(jì): ? A狀態(tài):掃描控制電路,光點(diǎn)從左上角像素點(diǎn)開始掃描,終止于右下角像素點(diǎn),然后周而復(fù)始地重復(fù)下去。 所以,對(duì)于 B 狀態(tài),實(shí)際上是 4*8=32 個(gè)子狀態(tài)間的切換,利用人眼的視覺暫留,在顯示每個(gè)字符的 1 秒鐘內(nèi)用高頻掃面這個(gè)字符的 8 個(gè)子狀態(tài),進(jìn)入下一秒鐘后,同理用高頻掃面這個(gè)字符的 8個(gè)子狀態(tài)。 ? D 狀態(tài):顯示一幅滾動(dòng)圖畫,是一個(gè)箭頭從右向左移動(dòng),其原理同 【 實(shí)驗(yàn) 源程序】 分頻模塊: library ieee。 f_reset: in std_logic。 begin process(f_clk,f_reset,count) begin if(f_reset=39。event and f_clk=39。 end if。 1MHz end process。 use 。 end contr。 1Hz, when use chose 84 for ,model139。 1Hz model2 c_hang(2 downto 0)=c_in(2 downto 0)。 1Hz model2 c_hang(2 downto 0)=c_in(2 downto 0)。 end process。 use 。 d_lie: out std_logic_vector(7 downto 0))。 begin process(d_mode,d_scan,lie,hang) 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告 8 begin if d_mode=00 then case d_scan(2 downto 0) is when 000=hang=01111111。 when 011=hang=11101111。 when 111=hang=11111110。s lie when 001=lie=01000000。 when 101=lie=00000100。 elsif d_mode=01 then case d_scan(5 downto 0) is when 000000=hang=11111111。
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1